aureole 发表于 2012-11-19 13:51:20

【原创】QuartusII 12.0 安装与使用指南.REV0 100多页 PDF 资...

http://pic002.cnblogs.com/images/2012/143024/2012102721371175.png


gnocy 发表于 2012-11-19 13:58:19

好资料,必须顶

hunningtu 发表于 2012-11-19 13:59:04

不知道12用起来怎么样?速度有没有提升?

aworker 发表于 2012-11-19 15:35:21

12,电脑配置有什么要求?

xivisi 发表于 2012-11-19 17:12:01

顶,QII用的不熟

xivisi 发表于 2012-11-19 17:33:46

楼主 可不可以增加一些高级的应用?????比如设置约束,添加IP之类的

sky5566 发表于 2012-11-19 19:05:33

xivisi 发表于 2012-11-19 17:33 static/image/common/back.gif
楼主 可不可以增加一些高级的应用?????比如设置约束,添加IP之类的

对阿,这个都是简单的安装设定说明.{:titter:}
没有讲更深入的{:cry:}
例:优化,SignalTap II ,QXP设定,TCL档案说明,SDC时序约束,TimeQues....等等,都没{:cry:}

freefei 发表于 2012-11-19 19:40:51

非常好的入门指导书,谢谢

aureole 发表于 2012-11-19 20:38:07

配套的 iTool 调试器。。。

点击查看详情

http://images.cnblogs.com/cnblogs_com/xiaomagee/355484/o_ad.jpg

lyl520719 发表于 2012-11-20 00:29:34

感谢楼主,写的很详细。

aureole 发表于 2012-11-20 14:44:06

lyl520719 发表于 2012-11-20 00:29 static/image/common/back.gif
感谢楼主,写的很详细。

不客气   

jilz88 发表于 2012-11-21 16:40:00

不错,很完美的入门资料;谢谢!

wkman 发表于 2012-11-21 17:04:45

{:handshake:} 3q

ycwjl728 发表于 2012-11-21 17:08:41

支持楼主,看上去很不错

jobs_start 发表于 2012-11-21 18:48:54

马哥的东西当然要顶!!!

tanglj868 发表于 2012-11-21 21:56:53

ddddddddddddddddddd

ab8780000 发表于 2012-11-21 22:42:58

Quartus 12.0不带Cyclone V低端的那几个期间库啊

hy2515131 发表于 2012-11-21 23:12:29

这么给力啊!顶!

sanliuyaoling 发表于 2012-11-22 08:37:26

小马哥又出大作了!!!!!!!!!!!!!

gongchangsheng 发表于 2012-11-22 18:07:11

好资料,谢谢楼主了

aureole 发表于 2012-11-25 10:54:16

顶顶更健康

zchong 发表于 2012-11-25 11:07:52

12.1来了

aureole 发表于 2012-11-25 11:47:38


电子学堂群课回归,群课仍然采用 YY 群进行语音授课。
群课信息:
-------------------------------------------
请大家到 YY 官方网站下载客户端

http://www.yy.com

下载、安装后,申请注册一个用户名,然后可以加入我们:

YY 群号:7182393 (可容纳万人)

YY频道号:80518139 ,名字:iBoard 电子学堂
-------------------------------------------

苦行僧 发表于 2012-11-25 12:12:37

哇哦,原来还有YY语言授课,我来了,哈哈

aureole 发表于 2012-11-25 12:16:33

每周日晚上八点开始,呵呵

江湖侠客 发表于 2012-12-7 20:04:55

楼主辛苦了

william_rain 发表于 2012-12-7 20:27:38

QuartusII12.0太大了,还是用版本低的

max 发表于 2013-3-11 18:09:05

好资料,非常感谢

lionsg 发表于 2013-4-7 09:52:03

Come on,逐步深入"优化,SignalTap II ,QXP设定,TCL档案说明,SDC时序约束,TimeQuest"

角度361 发表于 2013-4-8 10:53:59

都到12了啊,还在用9

our2008 发表于 2015-1-19 12:21:07

按照使用指南安装建立工程后,进行综合分析出现以下提示,请问是什么原因?
Info: *******************************************************************
Info: Running Quartus II 32-bit Analysis & Synthesis
        Info: Version 12.0 Build 178 05/31/2012 SJ Full Version
        Info: Processing started: Mon Jan 19 12:06:14 2015
Info: Command: quartus_map --read_settings_files=on --write_settings_files=off LED -c LED
Error (125048): Error reading Quartus II Settings File F:/fpga_002/LED.qsf, line 1
Error (125048): Error reading Quartus II Settings File F:/fpga_002/LED.qsf, line 2
Error (125048): Error reading Quartus II Settings File F:/fpga_002/LED.qsf, line 3
Error (125080): Can't open project -- Quartus II Settings File contains one or more errors
Error: Quartus II 32-bit Analysis & Synthesis was unsuccessful. 4 errors, 0 warnings
       

nanjing 发表于 2015-1-20 10:05:14

好东西。下载下来看看。

lyl520719 发表于 2017-10-31 07:06:51

QuartusII 12.0 支持cycloneIV和cycloneII,手里还有这两款开发板。

片羽之神 发表于 2018-3-14 00:05:29

mark~~~多谢

chenfuen 发表于 2019-8-6 21:01:41

为了省空间刚好装了12版来学学,谢谢
页: [1]
查看完整版本: 【原创】QuartusII 12.0 安装与使用指南.REV0 100多页 PDF 资...