nfchg 发表于 2015-5-27 21:39:06

求一款verilog编辑器

希望能有module索引功能,高亮功能,跳转功能等,verilog文件结构分析。
感觉看verilog代码太累了

albert.hu 发表于 2015-5-27 21:44:12

notepad++ 至少有高亮,输入提示

wenfeiexe 发表于 2015-5-27 21:45:17

debussy5.4 win版

wenfeiexe 发表于 2015-5-27 21:45:56

linux 最新版叫 verdi

天下无棱 发表于 2015-5-27 22:20:41

eclipse 有verilog插件

EMOSYN 发表于 2015-5-28 04:55:56

UE 的 Verilog插件

辰星和月 发表于 2015-5-28 09:09:12

像你们只会动嘴,像我这样的人就会把自己的语法文件传上来

3DA502 发表于 2015-5-28 12:50:30

周立功的TKstudio,这个软件的功能很不错,比np好多了

nfchg 发表于 2015-5-28 20:44:44

辰星和月 发表于 2015-5-28 09:09
像你们只会动嘴,像我这样的人就会把自己的语法文件传上来

非常感谢。

ysgepl@126.com 发表于 2015-5-28 21:19:38

Quartus II 12.1一直在用,可以仿真

nfchg 发表于 2015-5-28 22:14:02

ysgepl@126.com 发表于 2015-5-28 21:19
Quartus II 12.1一直在用,可以仿真

我也在用,只是不方便module跳转。

jarodzz 发表于 2015-5-28 23:09:55

3/4 F 說的軟體是正解。 IC designer 專用的。

nfchg 发表于 2015-5-29 08:00:25

jarodzz 发表于 2015-5-28 23:09
3/4 F 說的軟體是正解。 IC designer 專用的。

OK,了解一下

辰星和月 发表于 2015-5-29 10:10:38

nfchg 发表于 2015-5-28 20:44
非常感谢。

这个是UE的      

90999 发表于 2015-5-29 10:42:39

有没有第三方的VERILOG或者VHDL编译器?

nfchg 发表于 2015-5-29 18:28:02

辰星和月 发表于 2015-5-29 10:10
这个是UE的

知道的,我也从官网下载了

gxh470873852 发表于 2015-8-1 23:00:01

emacs,适合码农

at90s 发表于 2015-8-2 15:00:55

同楼上,emacs

模拟电路发烧友 发表于 2015-8-6 11:01:10

辰星和月 发表于 2015-5-28 09:09
像你们只会动嘴,像我这样的人就会把自己的语法文件传上来

给你赞个{:victory:}

模拟电路发烧友 发表于 2015-8-6 11:01:58

必须UE,很好用

Elec_Ramble 发表于 2015-8-7 21:38:06

debussy看别人的代码很方便,写代码习惯用notepad+
页: [1]
查看完整版本: 求一款verilog编辑器