xiaoyangshanren 发表于 2015-10-28 08:46:51

RAM读写后仿真

为什么我的RAM后仿真都是红色没有波形呢?图1所示
放大波形,读地址,读着就变成红色的了。图2所示

请各位莫友们指点一下,谢谢

dr2001 发表于 2015-10-28 08:55:49

细看哪个bit X了呗。

xiaoyangshanren 发表于 2015-10-28 10:08:30

dr2001 发表于 2015-10-28 08:55
细看哪个bit X了呗。

RAM的地址读着读着就变成红的了,后续的数据也就出不来了
我发一张大点的图
页: [1]
查看完整版本: RAM读写后仿真