xuysh 发表于 2017-5-18 09:06:38

Xilinx ISE bit文件的如何生成Bin文件

大神,求教:
Xilinx ISE bit文件的如何生成Bin文件。
Led点灯实验,Clk->D9,LED->B12,Rst->N4,如何生成BIN文件,通过串口->STM32->fpga烧录?谢谢!


//===========================================================================
// Module name: led_test.v
// 描述: 每隔1秒依次点亮开发板上的LED0~LED4
//===========================================================================
`timescale 1ns / 1ps

module led_test (
                  clk,         // 开发板上输入时钟: 50Mhz
                  rst_n,         // 开发板上输入复位按键
                  led            // 输出LED灯,用于控制开发板上四个LED(LED1~LED4)
             );
            
//===========================================================================
// PORT declarations
//===========================================================================
input clk;
input rst_n;
outputled;

//寄存器定义
reg timer;                  
regled;


//===========================================================================
// 计数器计数:循环计数0~4秒
//===========================================================================
always @(posedge clk or negedge rst_n)    //检测时钟的上升沿和复位的下降沿
    begin
      if (~rst_n)                           //复位信号低有效
          timer <= 0;                     //计数器清零
      else if (timer == 32'd199_999_999)    //开发板使用的晶振为50MHz,4秒计数(50M*4-1=199_999_999)
          timer <= 0;                     //计数器计到4秒,计数器清零
      else
                  timer <= timer + 1'b1;            //计数器加1
    end

//===========================================================================
// LED灯控制
//===========================================================================
always @(posedge clk or negedge rst_n)   //检测时钟的上升沿和复位的下降沿
    begin
      if (~rst_n)                        //复位信号低有效
          led <= 1'b1;                  //LED灯输出全为高,四个LED灯灭         
      else if (timer == 32'd49_999_999)    //计数器计到1秒,
          led <= 1'b1;                  //LED1点亮
      else if (timer == 32'd99_999_999)    //计数器计到2秒,
          led <= 1'b0;                  //LED1点亮
      else if (timer == 32'd149_999_999)   //计数器计到3秒,
          led <= 1'b0;                  //LED1点亮            //LED3点亮                           
      else if (timer == 32'd199_999_999)   //计数器计到4秒,
          led <= 1'b0;                  //LED1点亮      
    end
   
endmodule





##
NET clk LOC = D9 | TNM_NET = sys_clk_pin | IOSTANDARD = "LVCMOS33";
TIMESPEC TS_sys_clk_pin = PERIOD sys_clk_pin 50000 kHz;
##


##
NET rst_n                                 LOC = N4 | IOSTANDARD = "LVCMOS15"; ## SW2 pushbutton
##

########LED Pin define#####################
NET led                                             LOC = B12 | IOSTANDARD = "LVCMOS33";       ## LED1




hell-prototypes 发表于 2017-5-18 09:30:31

xuysh 发表于 2017-5-18 10:06:59

非常,谢谢......!

xuysh 发表于 2017-5-18 10:24:08

非常,谢谢......!可以加个好友,有问题再请教一下?

xuysh 发表于 2017-5-18 13:23:39

hell-prototypes 发表于 2017-5-18 09:30


还是不行,不知道那里错误,生成是没有问题,done后不工作。
页: [1]
查看完整版本: Xilinx ISE bit文件的如何生成Bin文件