lyl520719 发表于 2020-1-2 09:50:43

Verilog和SystemVerilog标准中的更多细微之处

本帖最后由 lyl520719 于 2020-1-2 10:27 编辑

目录
1.0简介................................................. ................................................... .................................... 3
2.0设计建模陷阱.............................................. ................................................... ....... 4
2.1重叠的决策声明.............................................. ..................................... 4
2.2 full_case或unique case的RTL和综合门级仿真不匹配5
2.3预期组合逻辑中的仿真与综合失配..................... 6
2.4组合逻辑中的非阻塞分配..................................................... ........... 8
2.5加载用always_latch建模的内存模型.................................................... ..... 9
2.6 1位内部网络的默认值................................................... ..................................................... 11
2.7端口方向强制.............................................. ............................................................... 12
3.0通用编程陷阱.................................. ............................................... 13
3.1用时钟块编译错误..................................................... ............................. 13
3.2 end或join语句组后的分号放错位置..................................................... 14
3.3决策声明后分号放错..................................................... ........... 15
3.4 for循环中分号放置错误..................................................... ................................ 16
3.5无限for循环.............................................. ................................................... ........ 17
3.6由于并发for循环而导致的锁定仿真................................................... ............... 18
3.7引用循环外部的循环控制变量....................................... 19
3.8对数组中的值的子集求和将返回不正确的值................................ 20
3.9具有默认值的任务/函数参数................................................... .................. 20
3.10静态任务和功能不可重入.................................................... ...................... 21
3.11局部变量声明中的编译错误................................................... .............. 23
4.0面向对象编程(OOP)陷阱................................................... .................................... 23
4.1类中的编程语句会收到编译错误................................................. 23
4.2使用带有类的接口时的编译错误................................................... ......... 25
4.3邮箱中的对象具有相同的值........................................... ........................ 26
4.4使用输入和引用参数将对象句柄传递给方法................................ 26
4.5创建对象数组.............................................. .................................................... 27
5.0受约束的随机验证陷阱.................................... ............................... 28
5.1一些对象变量没有被随机化........................................... .......... 28
5.2对两个以上随机变量的布尔约束.................................................. 29
5.3未检测到的随机失败.............................................. ..................... 30
5.4不需要的负数在随机值中.................................................... .............. 31
6.0 SystemVerilog覆盖范围.................................... .................................................... 32
6.1覆盖组的覆盖率始终报告为0%............................................... ......... 32
6.2覆盖率报告将所有实例汇总在一起.................................................... ............ 33
6.3 Covergroup自变量的方向是粘性的............................................ ................................ 34
7.0 SVA陷阱............................................... ................................................... ................................ 35
7.1断言pass语句以空洞成功执行................................................ 35
7.2程序块中的并发断言..................................................... ................... 36
7.3 assert ... else语句中的不匹配.................................................. ................................. 37
8.0工具兼容性陷阱.............................................. ................................................... ..38
8.1默认的模拟时间单位和精度.................................................... ................... 38
8.2包链.............................................. ................................................... ...... 39
8.3非标准关键字
1.0 Jiǎnjiè................................................. ................................................... .................................... 3
2.0 Shèjì jiàn mó xiànjǐng.............................................. ................................................... ....... 4
文件在4楼

lyl520719 发表于 2020-1-2 10:05:56

上传成功了怎么没显示,上传的文件需要等一定的时间才能看到吧?

wye11083 发表于 2020-1-2 10:22:57

lyl520719 发表于 2020-1-2 10:05
上传成功了怎么没显示,上传的文件需要等一定的时间才能看到吧?

你得点文件名插入到页面里面。。

lyl520719 发表于 2020-1-2 10:26:48

lyl520719 发表于 2020-1-2 10:30:18

wye11083 发表于 2020-1-2 10:22
你得点文件名插入到页面里面。。

谢谢你!成功了。

jm2011 发表于 2020-1-2 10:46:54

这个中文是怎么出来的?

lyl520719 发表于 2020-1-2 11:15:20

jm2011 发表于 2020-1-2 10:46
这个中文是怎么出来的?

用google翻译的。

sokou 发表于 2020-1-2 15:47:44

有点不太懂

althars 发表于 2020-5-17 10:09:02

学习了谢谢分享
页: [1]
查看完整版本: Verilog和SystemVerilog标准中的更多细微之处