armok. 发表于 2022-12-16 21:21:33

FPGA实现LVDS信号输出 LCD 控制器 verilog

(VIP++福利贴:本资源由坛友 721zjr 提出申请,由阿莫网上搜集与上传,版权归原作者。)

FPGA实现LVDS信号输出 LCD 控制器 verilog。 FPGA实现LVDS信号输出,可输出所需要的RGB等画面,LVDS是单通道输出 verilog 控制24寸TFT FPGA LVDS LCD verilog TFT

armok. 发表于 2022-12-16 21:21:40

页: [1]
查看完整版本: FPGA实现LVDS信号输出 LCD 控制器 verilog