搜索
bottom↓
楼主: navalguy

秀一下俺做的一款XILINX FPGA电子竞赛套件

  [复制链接]

出0入0汤圆

发表于 2012-2-8 21:22:16 | 显示全部楼层
mark

出0入0汤圆

发表于 2012-2-10 23:42:15 | 显示全部楼层
牛人,新手进来膜拜一下

出0入0汤圆

发表于 2012-2-14 23:19:57 | 显示全部楼层
楼主在信号电子类方面的开发功力比较深厚,佩服!

出0入0汤圆

发表于 2012-2-16 19:03:04 | 显示全部楼层
开源真的十分感兴趣

出0入0汤圆

发表于 2012-2-17 10:57:50 | 显示全部楼层
mark

出0入0汤圆

发表于 2012-2-17 13:20:57 | 显示全部楼层
今天从lL看到末尾,佩服啦。目前正在迷茫中,要不要学FPGA

出0入0汤圆

发表于 2012-2-26 21:07:47 | 显示全部楼层
用spartan3AN吧,内部flash,当CPLD用了

出0入0汤圆

发表于 2012-2-28 18:59:16 | 显示全部楼层
最近正考虑为FPGA实验箱再开发一些扩展模块,大家有什么好的建议,一起交流一下。

出0入0汤圆

发表于 2012-3-9 22:53:01 | 显示全部楼层
可以考虑加些信号放大、滤波和功放模块。

出0入0汤圆

发表于 2012-3-26 18:47:12 | 显示全部楼层
正想用赛灵思的板子哦

出0入0汤圆

发表于 2012-3-27 21:53:32 | 显示全部楼层
支持一下!!!        

出0入0汤圆

发表于 2012-3-28 07:55:17 | 显示全部楼层
支持一下      

出0入0汤圆

发表于 2012-4-12 21:15:58 | 显示全部楼层
tangjiamin01 发表于 2012-3-9 22:53
可以考虑加些信号放大、滤波和功放模块。

感谢您的建议,我们也开发了一些ad603等信号放大、程控滤波和lm1875等功放模块,有空一起交流讨论一下。

出0入0汤圆

发表于 2012-4-12 21:29:38 | 显示全部楼层
谢谢楼主分享   想下载!!但是下载速度让我哭啦。。。。。。。。。。。

出0入0汤圆

发表于 2012-4-13 13:56:54 | 显示全部楼层
很强大啊。。。谢谢楼主分享!

出0入0汤圆

发表于 2012-4-14 14:40:09 | 显示全部楼层
好资料。。。。。。。。。。。。。

出0入0汤圆

发表于 2012-4-19 17:22:18 | 显示全部楼层
RLCIC 发表于 2012-2-26 21:07
用spartan3AN吧,内部flash,当CPLD用了

考虑过制作spartan3AN最小系统板,不过担心和原来的Spartan2和Spartan3系列最小系统板管脚不能兼容。

出0入0汤圆

发表于 2012-4-23 10:01:07 | 显示全部楼层
xiongfancun 发表于 2012-4-12 21:29
谢谢楼主分享   想下载!!但是下载速度让我哭啦。。。。。。。。。。。 ...

网速慢的话,也可以直接到我们技术论坛或QQ群里下载共享资料。

技术论坛: www.sihangtek.com/bbs/

FPGA电子竞赛技术QQ群:
超级群1:113522056
高级群1:153080518

出0入0汤圆

发表于 2012-5-5 22:36:10 | 显示全部楼层
原来的 下载链接都没有了 ···

出0入0汤圆

发表于 2012-5-7 16:41:34 | 显示全部楼层
MARK一下,最近东西怎么都下不了。。。悲剧。。。

出0入0汤圆

发表于 2012-5-7 22:01:57 | 显示全部楼层
求教楼主,你用了很多高速adc 板板互联的连接器选择? 用的什么型号,这方面不太懂~

最近准备做spartan3e的核心板,多余的全部要引出,还有相关互联的模块。。

模块基本已经完成。

出0入0汤圆

发表于 2012-5-14 08:06:27 | 显示全部楼层
mage99 发表于 2012-5-7 22:01
求教楼主,你用了很多高速adc 板板互联的连接器选择? 用的什么型号,这方面不太懂~

最近准备做spartan3e ...

以前模块和FPGA板是用40针排线连接的,排线剪得很短,但高频还是有些干扰。后来模块和FPGA板改成90度弯排针和排座直接对接方式,省掉排线的同时,高频性能也提高了不少。

出0入0汤圆

发表于 2012-5-17 09:24:33 | 显示全部楼层
navalguy2 发表于 2012-5-14 08:06
以前模块和FPGA板是用40针排线连接的,排线剪得很短,但高频还是有些干扰。后来模块和FPGA板改成90度弯排 ...

看到xlinx官网推荐的fmc 太贵。 但是高速性能极好

还是一些pmod 模块,就是90排座和直角互联。那些东西都不好买啊。

出0入0汤圆

发表于 2012-5-19 22:59:24 | 显示全部楼层
mage99 发表于 2012-5-17 09:24
看到xlinx官网推荐的fmc 太贵。 但是高速性能极好

还是一些pmod 模块,就是90排座和直角互联。那些东西 ...

是的,那些接口性能是好,但主要用于高端板卡的互连,还是普通的排针和排座比较容易买到。

出0入0汤圆

发表于 2012-5-24 08:51:55 | 显示全部楼层
最近发现今年有个全国高职技能大赛——电子产品设计及制作(基于FPGA技术),用俺这个套件进行赛前培训非常合适。
建了一个2012高职技能大赛群-电子产品设计及制作(基于FPGA技术):235793314,欢迎交流!

出0入0汤圆

发表于 2012-5-24 09:23:45 | 显示全部楼层
很牛叉啊,羡慕

出0入0汤圆

发表于 2012-5-28 12:03:19 | 显示全部楼层
“2012年全国职业院校技能大赛”高职组电子产品设计及制作(基于FPGA技术)赛项规程

一、竞赛名称
电子产品设计及制作(基于FPGA技术)
二、竞赛目的
通过竞赛,检验参赛选手在模拟真实的工作环境与条件下实现对电子产品在规定设计方案(规定原理图与结构要求)下的工艺能力和职业素质,包括对常用电子产品制作工具的应用、电子产品的辅助设计能力、电子产品软硬件调试能力、电子产品的加工方法和工艺的操作技能、电子仪器仪表的使用、现场问题的分析与处理、团队协作和创新能力、安全、环保等意识,引导高职院校关注电子行业新技术的发展趋势与技术应用方向,指导和推动电子信息类专业开展面向现代电子产品设计与制作的课程与教学改革,加快电子信息类专业高素质技能型人才的培养,增强技能型人才的就业竞争力。
三、竞赛方式与内容
(一)竞赛方式
1.竞赛模式:封闭式竞赛,在赛前发放赛题任务书。
2.竞赛采取团队比赛方式,每支参赛队由3名选手组成,为2012年在籍高职学生,不分年级,不限男女,其中队长1名。
3.比赛时间为一天,将采用线路板绘制、软件编程和调试、硬件焊接组装与调试同步竞赛的方法进行。所绘制的线路板不加工,通过对线路板的电子稿进行评分,所要绘制的线路板与焊接安装用的线路板约束条件不同(约束条件指线路板安装尺寸、形状、接线口位置)。编程选手采用赛项组委会提供的硬件套件来编程,最后下载到赛题中。参赛的选手可以合理地分工同步进行,比赛时间可以控制在1天以内完成,第二天上午颁奖。
4.参赛选手的分工:按照完成线路板的绘制,硬件的焊接组装和调试工作,技术文件的撰写,软件编程、调试工作及编程技术文档的撰写等内容分工,时间为全天,由学校参赛队自我安排合理分工。
5.允许参赛队员在规定的时间里按照规则接受指导教师的指导。每个参赛队可配指导教师2名,指导教师经报名并通过资格审查后确定。在为期一天的赛程里,各参赛队的一名指导教师在统一规定时间内进入赛场进行现场指导一次。指导教师参与赛题的分析、进行技术要点的提示。指导教师的指导仅限于口头,不得亲自动手操作。
(二)竞赛内容
根据高职高专电子信息类专业学生的培养要求,本大赛展示了电子产品从辅助设计到完成生产的整个过程。各参赛队根据大赛组委会给定竞赛任务书要求,在赛场内完成全部竞赛内容。竞赛任务包括如下内容:
1.线路板的绘制
按照竞赛设计任务书,利用给定的电路原理图、约束条件和Altium软件,绘制出线路板图,在绘制中需考虑高速电路的电磁兼容性和信号完整性。
2.硬件的焊接组装和调试
利用竞赛提供的线路板和元器件套件,完成竞赛作品硬件焊接组装接线和硬件调试等工作。
3.软件的编程和调试
利用竞赛提供的硬件套件搭建与赛题一致的系统,进行软件编程和调试工作(库模块设计单元、图形编辑连接、逻辑图,可以加载给定的IP核)。并最终移植到竞赛作品中。
4.技术文档的撰写
技术文件分为三大类:文字性文件、表格性文件和工程图。
(1)文字性文件:技术说明、使用说明、安装说明等。
(2)表格性设计文件主要有:明细表、程序清单、接线表。
(3)电子工程图主要有:电路图、方框图、印制板图、装配图、接线图、逻辑图、流程图。
竞赛统一公布技术文档编写规范,要求各参赛队按技术文档编写规范编写技术文档。
四、竞赛规则
(一)赛题
1.本赛项的赛题,由出题专家组研究确定竞赛用题的形式与难度,并在比赛前公布经过试做的样题以及竞赛知识点,赛题在比赛现场启封发放。
2.赛题限定的竞赛套件(含器件),在比赛开始前同赛项的赛题一同发放。
(二)赛项需要配套的设备、软件和工具
由参赛队自主准备笔记本电脑、Altium Designer软件、ISE13.1或Quatus编程软件、FPGA下载电缆、数字万用表、焊接和安装工具等,带入赛场。

出0入0汤圆

发表于 2012-5-28 12:04:16 | 显示全部楼层
看来高职教育也开始重视FPGA技术的开发与应用了,呵呵!

出0入0汤圆

发表于 2012-5-31 20:51:02 | 显示全部楼层
嗯,看来比赛用FPGA的人越来越多了。

出0入0汤圆

发表于 2012-6-1 09:43:19 | 显示全部楼层
顶楼主,很好很强大!就是芯片有点老了!

出0入0汤圆

发表于 2012-6-1 09:48:27 | 显示全部楼层
顶一下!

出0入0汤圆

发表于 2012-6-6 17:29:17 | 显示全部楼层
FPGA电子竞赛技术群,备战2012各省电赛:超级群1:113522056 (500人)  高级群1:153080518 (200人)  

出0入0汤圆

发表于 2012-6-12 12:37:09 | 显示全部楼层
zhoujie9220 发表于 2012-6-1 09:43
顶楼主,很好很强大!就是芯片有点老了!

是的,芯片型号确实有点老,也在考虑核心板主芯片升级,但是核心板管脚兼容是个问题。

出0入0汤圆

发表于 2012-6-13 17:00:53 | 显示全部楼层
很强大啊。。。谢谢楼主分享! 在这里支持了!

出0入0汤圆

发表于 2012-6-13 18:46:52 | 显示全部楼层
楼主威武!!!

出0入0汤圆

发表于 2012-6-18 11:07:09 | 显示全部楼层
QQ:48217874,淘宝: http://fpgadev.taobao.com/,技术论坛:www.sihangtek.com/bbs/,欢迎交流讨论!

出0入4汤圆

发表于 2012-6-21 21:58:55 | 显示全部楼层
navalguy2 发表于 2012-6-6 17:29
FPGA电子竞赛技术群,备战2012各省电赛:超级群1:113522056 (500人)  高级群1:153080518 (200人)  
...

楼主好强大,好贴,可惜资源不能下,楼主你能告诉我在xilinx ise中怎么加载自己的IP核吗 我是菜鸟,麻烦了

出0入0汤圆

发表于 2012-6-30 08:03:31 | 显示全部楼层
楼主能发下你的资料吗 jingya051@126.com 非常感谢!

出0入0汤圆

发表于 2012-7-9 17:04:19 | 显示全部楼层
五月的风 发表于 2012-6-30 08:03
楼主能发下你的资料吗  非常感谢!

可以到QQ群里下载相关资料。
FPGA电子竞赛技术群:
超级群1:113522056
高级群1:153080518

出0入0汤圆

发表于 2012-7-24 09:05:13 | 显示全部楼层
我手上有Alter的学习套件

出0入0汤圆

发表于 2012-7-24 09:26:42 | 显示全部楼层
一群白眼狼啊!呵呵 不过我也是,顶一下!!LZ好淫啊

出0入0汤圆

发表于 2012-7-28 09:27:14 | 显示全部楼层
继续支持楼主!楼主最近是否有新作品?

出0入0汤圆

发表于 2012-8-6 15:37:06 | 显示全部楼层
谢谢这么多网友的支持,最近在开发几个项目,比较忙,不过套件有时间仍然会不断更新的。

出0入0汤圆

发表于 2012-8-13 17:30:26 | 显示全部楼层
今年各省的竞赛已经陆续展开,不知大家准备的怎样?有的省已经比赛完了,结果怎么样呢?

出0入0汤圆

发表于 2012-8-23 22:48:40 | 显示全部楼层
嵌入式—未来 发表于 2012-7-14 20:46
现在dds很容易吧。。。。。

FPGA内实现DDS功能很容易的,可以自己写代码,也可以直接调用IP核。

出0入0汤圆

发表于 2012-9-13 11:47:32 | 显示全部楼层
明天山东省电子竞赛就要开始了,不知道今年比什么题目,大家来猜一下。

出0入0汤圆

发表于 2012-9-13 11:48:40 | 显示全部楼层
2012TI杯山东省大学生电子设计竞赛基本仪器和主要元器件清单:
1、基本仪器清单
数字电桥 直流稳压电源 量角器 2米米尺  四位半数字万用表  0~200℃温度计 秒表 20MHz双路普通示波器
2、主要元器件清单
MSP430单片机 显示器件 小型电动车 晶体三极管  9V方电池 2200uF 50V 电容 100uF 50V 电容 12V汽车蓄电池 太阳能电池(3--5V;0.1A以下) 三轴加速度传感器 超声波传感器 温度传感器

出0入0汤圆

发表于 2012-9-13 11:55:05 | 显示全部楼层
小车、传感器控制、电源的题目必考了。单片机做的题目比较多,不知道用FPGA能做什么,如果有信号发生类题目的话,还可以做做。

出0入0汤圆

发表于 2012-9-14 14:05:26 | 显示全部楼层
题目出来了,看了一下,E题可以用FPGA做。
双路低频信号发生及分析仪的设计制作(E题)
一、任务
设计并制作一个双路低频信号发生器,以及一个能对信号进行频域分析的仪器。
二、要求
1.基本要求
(1)两路信号均可程控选择输出正弦波、矩形波、三角波和锯齿波,频率可预置,范围为1000Hz到2000Hz,设置的步进值不大于10Hz,频率准确度不低于1%,且每路信号的波形及频率都可以单独预置。
(2)两路输出信号最大幅度不低于2.5V,幅度可预置,设置的步进值不大于100mv,且每路信号的幅度都可以单独预置。
(3)能产生两路频率相同相位差可预置的双相正弦信号,相位差预置范围为0~360度,设置步进值为10度,精度为10度。
(4)输出矩形波的占空比能在1%—99%范围内预置,设置步进值为1%,精度为1%。
( 5 ) 仪表低功耗分析。
2.发挥部分
(1)信号叠加电路能对信号发生器输出的两路正弦信号(频率和幅度可以不相同)进行合成,合成后的叠加信号波形正确。
(2)分析仪能对信号叠加电路输出的叠加信号进行频域分析,并分别显示原两路正弦信号的频率和幅度,其误差绝对值不大于10%;
(3)能在显示器显示叠加信号频谱图,;
(4)其他
三、说明
1.作品中不得使用集成DDS芯片。
2.题目中所指的幅度均为峰峰值。
3.工作电源可用成品,也可自制。

出0入0汤圆

发表于 2012-9-15 08:56:52 | 显示全部楼层
今年山东省E题(双路低频信号发生及分析仪)用FPGA套件做比较简单,双路DA实现双路低频信号产生指标很轻松,再用AD采集到FPGA内做FFT输出显示就可以了。

出0入0汤圆

发表于 2012-9-15 16:12:14 | 显示全部楼层
今年刚做了一个电源题(逆变器)  实在是累呀  本来好好的  可最后烧件了 
  现在在做数字示波器  想进你的群可进不了  
楼主能否共享一下你的资材………………。  

出0入0汤圆

发表于 2012-9-19 21:06:30 | 显示全部楼层
                                     mark

出0入0汤圆

发表于 2012-9-19 21:36:55 | 显示全部楼层
看起来相当不错,强烈建议开源

出0入0汤圆

发表于 2012-9-19 21:59:42 | 显示全部楼层
mark     

出0入0汤圆

发表于 2012-9-22 09:52:44 | 显示全部楼层
已经答辩测试完了,除了发挥部分第(3)项:能在显示器显示叠加信号频谱图,其余功能全部实现。 我们显示用的是示波器显示屏,评委说一定要用12864那样的显示屏,结果这项没让测。我觉得题目没有明确规定,像示波器显示屏、电脑VGA显示屏都可以作为显示器显示。

出0入0汤圆

发表于 2012-9-22 10:00:37 | 显示全部楼层
相当霸道了!

出0入0汤圆

发表于 2012-10-13 21:56:36 | 显示全部楼层
小二 发表于 2012-9-15 16:12
今年刚做了一个电源题(逆变器)  实在是累呀  本来好好的  可最后烧件了 
  现在在做数 ...

资料可到QQ群和技术论坛中下载。
技术论坛:www.sihangtek.com/bbs/
FPGA电子竞赛技术群
超级群1:113522056(已满)
高级群1:153080518


出0入0汤圆

发表于 2012-10-14 01:54:21 来自手机 | 显示全部楼层
navalguy 发表于 2010-1-3 09:52:22
从05年就计划研制的这款XILINX FPGA电子竞赛套件今天终于面世了!
核心板采用Xilinx公司的Spartan II系列FPGA,门数容量为20万门。技术指标高,双通道40MHz高速12位...

芯片有点掉牙,总不能单为个电平匹配沿用老东西

来自: amoBBS 阿莫电子论坛 iPhone客户端

出0入0汤圆

发表于 2012-10-16 14:04:44 | 显示全部楼层
必须顶一下子了!

出0入0汤圆

发表于 2012-10-28 08:43:01 | 显示全部楼层
A311 发表于 2012-10-14 01:54
芯片有点掉牙,总不能单为个电平匹配沿用老东西

来自: amoBBS 阿莫电子论坛 iPhone客户端 ...

恩,我们也正在对核心板全面升级,Spartan3的全兼容核心板已经有了,正考虑开发Spartan6核心板。

出0入0汤圆

发表于 2012-10-28 13:15:02 | 显示全部楼层
mark~~~~~~~~~~~~~

出0入0汤圆

发表于 2012-10-28 14:39:11 来自手机 | 显示全部楼层
等spartan6核心板出来考虑入手一套

出0入0汤圆

发表于 2012-10-28 22:04:32 | 显示全部楼层
马克,,,收藏の

出0入0汤圆

发表于 2012-11-2 11:05:37 | 显示全部楼层
有人 做运动控制码?探讨一下

出0入0汤圆

发表于 2012-11-3 22:25:25 | 显示全部楼层
FPGA电子竞赛技术群
超级群1(500人):113522056
高级群1(200人):153080518

出0入0汤圆

发表于 2012-11-16 13:13:01 | 显示全部楼层
还是挺不错的  

出0入0汤圆

发表于 2012-11-22 23:29:56 | 显示全部楼层
mark
樓主很強大啊!謝謝分享

出0入0汤圆

发表于 2012-11-23 15:06:32 | 显示全部楼层
谢谢分享

出0入0汤圆

发表于 2012-11-24 21:57:19 来自手机 | 显示全部楼层
本帖最后由 banye2010 于 2012-11-24 22:02 编辑

现在比赛对这种现成PCB模块查的很严,今年比赛一块PCB(最小系统除外)上的元件都不让超过3个。

出0入0汤圆

发表于 2012-11-28 21:20:31 | 显示全部楼层
banye2010 发表于 2012-11-24 21:57
现在比赛对这种现成PCB模块查的很严,今年比赛一块PCB(最小系统除外)上的元件都不让超过3个。 ...

有这样的明确规定吗?规定是不让用现成的整块开发板,像FPGA最小系统板、 AD模块、DA模块、IO模块这些基本的模块都不让用吗,应该不会吧。难道以后都只能用面包板吗?

出0入0汤圆

发表于 2012-11-28 21:34:15 | 显示全部楼层
mark

出0入0汤圆

发表于 2012-12-20 16:45:00 | 显示全部楼层
banye2010 发表于 2012-11-24 21:57
现在比赛对这种现成PCB模块查的很严,今年比赛一块PCB(最小系统除外)上的元件都不让超过3个。 ...

考虑到竞赛对PCB模块的严格要求,准备设计一款信号类竞赛专用的带高速AD和DA的FPGA板,板子采用最小系统板+底板结构,但是底板做的很小,和核心板差不多大小,把一些高速AD和DA电路隐藏在最小系统板的下面,或者更绝一点,直接将高速AD和DA电路做在最小系统板的背面,这样很隐蔽。不知这样可行否?

出0入0汤圆

发表于 2013-2-11 10:31:47 | 显示全部楼层
FPGA电子竞赛技术群
超级群1(500人):113522056
高级群1(200人):153080518

出0入0汤圆

发表于 2013-2-11 20:21:52 | 显示全部楼层
楼主厉害!

出0入0汤圆

发表于 2013-2-24 09:52:21 | 显示全部楼层
2013年又是国赛年,不知大家开始准备了吗,有什么好的建议?

出0入0汤圆

发表于 2013-2-27 18:31:51 | 显示全部楼层
navalguy 发表于 2010-6-13 21:21
回复【59楼】djp1
-----------------------------------------------------------------------

有没有资料提供啊?谢谢

出0入0汤圆

发表于 2013-3-5 21:50:15 | 显示全部楼层
顶一下,楼主今年还参加比赛吗?现在准备的怎样了?

出0入0汤圆

发表于 2013-3-6 21:19:03 | 显示全部楼层
不错不错

出0入0汤圆

发表于 2013-3-10 16:45:58 | 显示全部楼层
tangjiamin01 发表于 2013-3-5 21:50
顶一下,楼主今年还参加比赛吗?现在准备的怎样了?

今年继续参加比赛,正准备开发竞赛简易学习开发板和一些信号类的模拟电路小模块。

出0入0汤圆

发表于 2013-3-10 22:24:43 | 显示全部楼层
本帖最后由 Free顿悟 于 2013-3-10 22:25 编辑

好东西,谢谢分享

出0入0汤圆

发表于 2013-3-10 22:29:59 | 显示全部楼层
求lz扣扣号码,,

出0入0汤圆

发表于 2013-3-16 17:10:22 | 显示全部楼层
Free顿悟 发表于 2013-3-10 22:29
求lz扣扣号码,,

48217874,欢迎交流!

出0入0汤圆

发表于 2013-3-23 20:56:52 | 显示全部楼层
ding!
向楼主学习!

出0入0汤圆

发表于 2013-3-26 23:10:57 | 显示全部楼层
非常好,学习学习

出0入0汤圆

发表于 2013-3-28 19:57:13 | 显示全部楼层
楼主的竞赛开发板什么时候开发好?

出0入0汤圆

发表于 2013-3-28 23:43:16 | 显示全部楼层
好好,很好哈

出0入0汤圆

发表于 2013-3-30 12:33:34 | 显示全部楼层
有没有楼主的qq,很想联系,学习探讨xilinx的东西,不知道哪个高手有联系方式。

出0入0汤圆

发表于 2013-4-1 10:39:11 | 显示全部楼层
楼主,请问“基于FPGA的SSB调制”做过吗?

出0入0汤圆

发表于 2013-4-1 10:41:07 | 显示全部楼层
楼主,请问你做过“基于FPGA的SSB调制”吗?

出0入0汤圆

发表于 2013-4-10 22:21:47 | 显示全部楼层
lijia1234007 发表于 2013-4-1 10:41
楼主,请问你做过“基于FPGA的SSB调制”吗?

SSB是通信里面的单边带调制吧,没做过。不过有做过正交调制,实现方法应该差不多吧。

出0入0汤圆

发表于 2013-4-13 19:11:41 | 显示全部楼层
tangjiamin01 发表于 2013-3-28 19:57
楼主的竞赛开发板什么时候开发好?

预计5、6月份吧

出0入0汤圆

发表于 2013-4-14 11:49:07 | 显示全部楼层
多谢楼主分享

出0入0汤圆

发表于 2013-4-18 20:23:24 | 显示全部楼层
zd30 发表于 2013-3-30 12:33
有没有楼主的qq,很想联系,学习探讨xilinx的东西,不知道哪个高手有联系方式。 ...

48217874,欢迎交流!

FPGA电子竞赛技术群:
超级群1:113522056
高级群1:153080518

出0入0汤圆

发表于 2013-4-18 20:30:59 | 显示全部楼层
navalguy2 发表于 2013-4-18 20:23
48217874,欢迎交流!

FPGA电子竞赛技术群:

先标记一下,那天 玩FPGA了找你交流

出0入0汤圆

发表于 2013-4-24 12:29:56 | 显示全部楼层
mark,很不错的帖子!

出0入0汤圆

发表于 2013-5-5 15:52:33 | 显示全部楼层
最近正在陆续开发一套的竞赛实战系列新板,想法是FPGA(最小系统板+扩展底板)+外围数字电路模块(矩阵键盘/拨码开关输入模块、数码管/液晶显示模块)+外围数模混合电路模块(单路高速A/D模块、单路高速D/A模块)+外围模拟电路模块(大信号衰减网络模块、小信号(5mV)初级放大模块、压控增益放大模块、可编程集成滤波器模块)等组合套装。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

发表于 2013-5-5 18:48:30 | 显示全部楼层
楼主传资料,我们要学习。

出0入0汤圆

发表于 2013-5-8 17:08:34 | 显示全部楼层
navalguy2 发表于 2010-9-4 20:51
回复【152楼】yangwei010
回复【150楼】navalguy2  
-------------------------------------------------- ...

非常受教.....

出0入0汤圆

发表于 2013-5-15 20:30:36 | 显示全部楼层
FPGA竞赛实战系统板(最小系统板+扩展底板)架构已经搭好,接口都做成标准统一的。
接口有8PIN的纯模拟模块接口、20PIN和40PIN的数字接口。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

发表于 2013-5-21 07:29:18 | 显示全部楼层
本帖最后由 navalguy2 于 2013-5-21 07:31 编辑

板子做回来了

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-4-25 01:30

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表