搜索
bottom↓
楼主: McuPlayer

跟着我从零开始入门FPGA(一周入门XXOO系列)

  [复制链接]

出0入0汤圆

发表于 2013-11-30 09:05:41 | 显示全部楼层
mark






出0入0汤圆

发表于 2013-12-14 10:46:32 | 显示全部楼层
谢谢楼主分享!!!

出0入0汤圆

发表于 2013-12-16 10:52:05 | 显示全部楼层
顶顶顶顶顶顶顶顶顶顶顶顶顶顶顶顶顶顶顶顶顶顶顶顶顶顶顶顶顶顶顶顶顶顶顶顶顶顶顶

出0入0汤圆

发表于 2013-12-16 14:08:58 | 显示全部楼层
thanks a  lot

出0入0汤圆

发表于 2013-12-16 14:51:18 | 显示全部楼层
好贴 MARK一下  方便以后学xi

出0入0汤圆

发表于 2013-12-16 16:06:21 | 显示全部楼层
楼主讲的很仔细!

出0入0汤圆

发表于 2013-12-16 16:14:10 | 显示全部楼层
1周,值得期待

出0入0汤圆

发表于 2013-12-17 10:42:54 | 显示全部楼层
确实不错,看后有种脱胎换骨的感觉

出0入0汤圆

发表于 2013-12-17 15:02:58 | 显示全部楼层
写的很好。

出0入0汤圆

发表于 2013-12-19 08:30:14 | 显示全部楼层
虽然一直用VHDL 但是最后两课还是能学到很多东西

出0入0汤圆

发表于 2013-12-19 21:58:27 | 显示全部楼层
对初学者比较有意义了··

出0入0汤圆

发表于 2013-12-19 22:49:52 | 显示全部楼层
good good study

出0入0汤圆

发表于 2013-12-22 10:37:38 | 显示全部楼层
很生动,粗略的浏览了一遍

出0入0汤圆

发表于 2013-12-22 14:59:25 | 显示全部楼层
楼主是大神~

出0入0汤圆

发表于 2013-12-29 15:54:50 | 显示全部楼层
最近正好在看verilog,之前没有玩过FPGA,现在也就是用51、stm32单片机等,跑跑ucos等实时系统,因为考虑以后需要用fpga,所以最近开始自学,看的是夏老师的书,还在看verilog语法,发现楼主说的很不错,虽然内容不是很全面、没有将所有语法细节都描述,但是楼主的叙述很形象,赶得上有一定资历的老师了。

出0入0汤圆

发表于 2013-12-29 18:40:10 | 显示全部楼层
很好

出0入0汤圆

发表于 2013-12-29 20:28:31 | 显示全部楼层
mak 一下

出0入0汤圆

发表于 2013-12-29 23:11:00 | 显示全部楼层
排队学习

出0入0汤圆

发表于 2013-12-30 11:49:48 | 显示全部楼层
顶楼主 裤子

出0入0汤圆

发表于 2014-1-30 10:59:08 | 显示全部楼层
好贴!适合入门,通俗易懂!

出0入0汤圆

发表于 2014-2-7 12:28:54 | 显示全部楼层
Mark!关注关注...

出0入0汤圆

发表于 2014-2-7 13:55:24 | 显示全部楼层
这个帖子太好了。
楼主精通C和VERILOG,才能把两者对比得这么生动

出0入0汤圆

发表于 2014-2-7 13:56:43 | 显示全部楼层
very mark

出0入0汤圆

发表于 2014-2-7 19:02:25 | 显示全部楼层
楼主是牛人呀!太厉害了、佩服呀

出0入0汤圆

发表于 2014-2-8 10:57:18 | 显示全部楼层
nibble,刚才一走眼看成了nipple…………正奇怪为什么这样用这个次来形容半字节,难道是因为一左一右?

出0入0汤圆

发表于 2014-2-8 12:55:52 | 显示全部楼层
mark!!!!!!!

出0入0汤圆

发表于 2014-2-8 16:00:23 | 显示全部楼层
很不错,解决了很多疑惑

出0入0汤圆

发表于 2014-2-10 09:28:28 | 显示全部楼层
开始学习,买了个板子,还没开工。

出0入0汤圆

发表于 2014-2-17 11:29:36 来自手机 | 显示全部楼层
存下来了

出0入0汤圆

发表于 2014-2-19 21:34:23 | 显示全部楼层
支持,支持,但是自己底子太差了,还是一头雾水

出0入0汤圆

发表于 2014-2-19 22:27:57 | 显示全部楼层
很好,谢谢了,对我有很大帮助!

出0入0汤圆

发表于 2014-2-23 15:53:20 | 显示全部楼层
这个留着以后看吧。。。

出0入0汤圆

发表于 2014-2-23 20:28:15 | 显示全部楼层
正在入门中!

出0入0汤圆

发表于 2014-2-23 21:11:58 | 显示全部楼层
McuPlayer 发表于 2012-5-27 17:17
7、设计一个只有4条指令的CPU

楼主风格我喜欢

出0入0汤圆

发表于 2014-2-24 10:06:27 | 显示全部楼层
感谢分享资源,谢谢

出0入0汤圆

发表于 2014-2-25 08:39:00 | 显示全部楼层
终于可以恢复了

出0入0汤圆

发表于 2014-2-25 09:02:43 | 显示全部楼层
正在学习中。。。。

出0入0汤圆

发表于 2014-2-25 09:29:21 | 显示全部楼层
MARK一下

出0入0汤圆

发表于 2014-3-2 11:12:22 | 显示全部楼层
MARK一下

出0入0汤圆

发表于 2014-5-5 13:24:50 | 显示全部楼层
mark一下

出10入0汤圆

发表于 2014-5-5 14:09:10 | 显示全部楼层
顶起,跟着我从零开始入门FPGA(一周入门XXOO系列)
在学校整过,出来工作一直没用到

出0入0汤圆

发表于 2014-5-5 14:42:28 | 显示全部楼层
学多了太累,目前只想正好ARM和PLC

出0入0汤圆

发表于 2014-5-5 15:40:19 | 显示全部楼层
看看传说中的FPGA是什么样的

出0入0汤圆

发表于 2014-5-6 22:53:22 | 显示全部楼层
太适合我这样的初学者啦,~~!!~~   高兴

出0入0汤圆

发表于 2014-5-7 08:32:56 | 显示全部楼层
mark,谢谢分享!

出0入0汤圆

发表于 2014-5-7 08:51:05 | 显示全部楼层
楼主辛苦了!
FPGA ,留记号,备用

出0入0汤圆

发表于 2014-5-9 08:14:09 | 显示全部楼层
真 不错

出0入0汤圆

发表于 2014-5-9 08:28:11 | 显示全部楼层
楼主辛苦了!

出0入0汤圆

发表于 2014-5-9 08:56:20 | 显示全部楼层
顶一下啊~~~

出0入0汤圆

发表于 2014-5-12 17:41:14 | 显示全部楼层
感谢楼主分享!

出0入0汤圆

发表于 2014-6-1 09:51:51 | 显示全部楼层
感谢分享

出0入0汤圆

发表于 2014-6-1 10:16:17 | 显示全部楼层
标记,从零开始入门FPGA(一周入门XXOO系列)

出0入0汤圆

发表于 2014-9-25 08:44:29 | 显示全部楼层
膜拜

出0入0汤圆

发表于 2014-9-25 09:28:06 | 显示全部楼层
听课咯   

出0入0汤圆

发表于 2014-9-25 09:53:15 | 显示全部楼层
很好的入门教程!good

出0入0汤圆

发表于 2014-9-25 09:59:58 | 显示全部楼层
有没有深入的 啊,引领入门的

出0入0汤圆

发表于 2014-9-25 10:05:27 | 显示全部楼层
关注:上次一个项目准备上CPLD FPGA,开发板和书都买了,后来因为项目有变,就停顿了下来。有时间参照LZ的帖子来学习下

出0入0汤圆

发表于 2014-9-25 10:07:31 | 显示全部楼层
好东西,狂顶!

出0入0汤圆

发表于 2014-9-25 10:09:52 | 显示全部楼层
lz,您怎么不把这些内容做成PDF的呢?可以供我们学习,下载啊!

出0入0汤圆

发表于 2014-10-7 11:16:33 | 显示全部楼层
学习一下!

出0入0汤圆

发表于 2014-10-7 11:36:39 | 显示全部楼层
mark                       

出0入0汤圆

发表于 2014-10-7 11:53:34 | 显示全部楼层
好贴,mark慢慢学习!

出0入0汤圆

发表于 2014-10-7 12:26:24 | 显示全部楼层
mark lz写的很棒

出0入0汤圆

发表于 2014-10-7 14:38:00 | 显示全部楼层
占位坐下来好好学习。

出0入0汤圆

发表于 2014-10-7 16:39:12 | 显示全部楼层
谢谢分享。

出0入0汤圆

发表于 2014-10-7 17:32:51 | 显示全部楼层
跟着楼主学习FPGA,真相入门

出0入4汤圆

发表于 2014-10-7 17:39:25 | 显示全部楼层
收藏先  

出50入0汤圆

发表于 2014-10-7 19:00:22 | 显示全部楼层
收藏了,谢谢楼主

出0入0汤圆

发表于 2014-10-7 21:14:26 | 显示全部楼层
收藏了,多谢楼主。

出0入0汤圆

发表于 2014-10-9 19:24:30 | 显示全部楼层
感谢分享,支持个

出0入0汤圆

发表于 2014-10-9 19:34:16 | 显示全部楼层
现在在学习FPGA

出0入0汤圆

发表于 2014-10-9 19:44:54 | 显示全部楼层
谢谢分享 学习了

出0入0汤圆

发表于 2014-10-9 20:01:52 | 显示全部楼层
学习了。

出0入0汤圆

发表于 2014-10-9 21:44:32 | 显示全部楼层
每天来学一点。

出0入0汤圆

发表于 2014-10-9 23:15:59 | 显示全部楼层
再学习一遍

出0入0汤圆

发表于 2014-10-10 08:18:52 | 显示全部楼层
一周仅是了解吧,不能算是入门的.

出0入0汤圆

发表于 2014-10-10 09:03:36 | 显示全部楼层
1ongquan 发表于 2012-5-13 19:52
突发奇想------FPGA的汇编级别语言什么样子?

这个汇编应该对应RTL网表

高级语言中的汇报,在FPGA中应该对应门级了。即,一个一个宏单元。

出0入0汤圆

发表于 2014-10-10 09:17:48 | 显示全部楼层
有用,留下

出0入0汤圆

发表于 2014-10-10 09:25:26 | 显示全部楼层
跟着楼主学习

出0入0汤圆

发表于 2014-10-10 09:27:01 | 显示全部楼层
非常好,收藏了谢楼主

出0入0汤圆

发表于 2014-10-10 11:30:36 来自手机 | 显示全部楼层
支持楼主

出0入0汤圆

发表于 2014-10-10 12:21:49 | 显示全部楼层
解说的非常好,顶一个

出0入0汤圆

发表于 2014-10-20 22:16:07 | 显示全部楼层
学习了,入门好材料,感谢楼主生动讲解

出0入0汤圆

发表于 2014-10-21 00:46:27 | 显示全部楼层
支持楼主

出0入0汤圆

发表于 2014-10-21 07:26:49 | 显示全部楼层
马克一下

出0入0汤圆

发表于 2014-10-22 16:45:08 | 显示全部楼层
讲的8错 谢谢

出0入0汤圆

发表于 2014-10-22 17:24:30 | 显示全部楼层
这个要关注

出0入0汤圆

发表于 2014-10-22 18:03:32 | 显示全部楼层
以前学过一点,现在都忘完了。

出0入0汤圆

发表于 2014-10-22 19:19:16 | 显示全部楼层
以前还买了两本书学过,后来没项目练手,也就荒废了

出50入10汤圆

发表于 2014-10-24 10:50:50 | 显示全部楼层
能否介绍一下,怎样搭建开发环境及开发工具。

出0入0汤圆

发表于 2014-10-24 10:53:45 | 显示全部楼层
FPGA  mark

出0入0汤圆

发表于 2014-10-24 16:03:03 | 显示全部楼层
根本停不下来,我居然看完了,感谢楼主,希望更多的教程。。。。。。

出0入0汤圆

发表于 2014-10-29 22:47:36 | 显示全部楼层
请问楼主,我是新手入门,有什么好的书籍可以介绍?

出0入0汤圆

发表于 2014-11-27 09:29:32 | 显示全部楼层
  不错哟

出0入0汤圆

发表于 2014-11-27 16:49:52 | 显示全部楼层
学习了一遍,技术贴历久弥新!

出0入0汤圆

发表于 2014-11-27 18:24:50 | 显示全部楼层
跟帖学习  最近要了解下FPGA

出0入0汤圆

发表于 2014-11-27 19:13:58 | 显示全部楼层
MARK    迟早要学的,之前上课学的基本忘了,,找时间好好主动学习下FPGA

出0入0汤圆

发表于 2014-11-27 20:02:43 | 显示全部楼层
MARK。。有机会再学习

出0入0汤圆

发表于 2014-11-27 20:39:55 | 显示全部楼层
生动形象生动形象

出0入0汤圆

发表于 2014-11-27 22:28:26 | 显示全部楼层
好帖不顶枉来坛。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-4-20 08:50

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表