搜索
bottom↓
回复: 18

XDS100V3 DIY资料,大侠评估一下好不好做

[复制链接]

出0入0汤圆

发表于 2013-6-11 13:03:53 | 显示全部楼层 |阅读模式
PCB是allegro画的,SCH是ORCAD画的,不改的话,有现成的GERBER文件。


本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

阿莫论坛20周年了!感谢大家的支持与爱护!!

一只鸟敢站在脆弱的枝条上歇脚,它依仗的不是枝条不会断,而是自己有翅膀,会飞。

出0入0汤圆

发表于 2013-6-11 13:55:02 来自手机 | 显示全部楼层
cpld的程序没有!

出0入0汤圆

发表于 2013-6-11 14:20:57 | 显示全部楼层
造价多少啊?
CPLD里的固件是有的,这个是全开源的,就是慢了点。

出0入0汤圆

发表于 2013-6-11 14:25:43 | 显示全部楼层
做可以的,但意义不大

出0入0汤圆

发表于 2013-6-11 14:38:17 | 显示全部楼层
v2就足够用了  v3没什么意义

出0入0汤圆

发表于 2013-6-25 20:47:47 | 显示全部楼层
新手可以拿它入门FPGA,顺便学下JTAG和USB协议

出0入0汤圆

发表于 2013-6-25 21:12:53 | 显示全部楼层
i55x 发表于 2013-6-25 20:47
新手可以拿它入门FPGA,顺便学下JTAG和USB协议

你没有好好看代码吧?
加密的代码你怎么学?

出0入0汤圆

发表于 2013-6-25 21:35:29 | 显示全部楼层
y595906642 发表于 2013-6-25 21:12
你没有好好看代码吧?
加密的代码你怎么学?

光看里面有一堆VHDL文件来着,我没有Actel工具打不开工程,VHDL如何加密?

出0入0汤圆

发表于 2013-6-25 22:10:00 | 显示全部楼层
i55x 发表于 2013-6-25 21:35
光看里面有一堆VHDL文件来着,我没有Actel工具打不开工程,VHDL如何加密?

代码端口全部是无意义的命名

出0入0汤圆

发表于 2013-6-25 22:34:49 | 显示全部楼层
y595906642 发表于 2013-6-25 22:10
代码端口全部是无意义的命名

等有空了下个Actel工具看看到底是肿么回事。

出0入0汤圆

发表于 2013-6-25 22:38:13 | 显示全部楼层
i55x 发表于 2013-6-25 22:34
等有空了下个Actel工具看看到底是肿么回事。


用记事本就能打开 .vhd文件
或者导到ise里面看

出0入0汤圆

发表于 2013-6-25 22:46:21 | 显示全部楼层
y595906642 发表于 2013-6-25 22:38
用记事本就能打开 .vhd文件
或者导到ise里面看

Quartus、ISE我都有,但是你的问题可能不出在vhdl上面,约束或者其他配置文件只能用原装环境才能搞定。

出0入0汤圆

发表于 2013-7-11 00:26:33 | 显示全部楼层
这个我试制了一版,没有问题,就是FPGA不太好买,现在还剩几款,大家有兴趣的话可以联系我

出0入0汤圆

发表于 2013-10-21 18:18:12 | 显示全部楼层
mark

出0入0汤圆

发表于 2014-5-13 19:47:48 | 显示全部楼层
有谁做成功吗?

出0入0汤圆

发表于 2014-6-14 18:28:42 | 显示全部楼层
好东西,谢谢分享!

出0入0汤圆

发表于 2015-2-13 20:49:38 | 显示全部楼层
fangshi 发表于 2013-7-11 00:26
这个我试制了一版,没有问题,就是FPGA不太好买,现在还剩几款,大家有兴趣的话可以联系我 ...

正在做一款V3仿真器相关的产品,希望能跟您合作    麻花藤:三六五069404  TEL: 一三三60084970

出0入0汤圆

发表于 2015-11-22 22:30:45 | 显示全部楼层
我也来试试~~~~

出0入0汤圆

发表于 2016-9-19 17:52:56 | 显示全部楼层
谢谢分享!
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-3-29 04:25

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表