kunnsd 发表于 2009-12-20 11:20:33

测试版示波器完整资料(电路图、工程包、pcb、照片) 资料已经上传!

测试版照片:
http://cache.amobbs.com/bbs_upload782111/files_23/ourdev_516837.JPG
(原文件名:图8.JPG)

http://cache.amobbs.com/bbs_upload782111/files_23/ourdev_516838.JPG
(原文件名:图9.JPG)

http://cache.amobbs.com/bbs_upload782111/files_23/ourdev_516839.JPG
(原文件名:图10.JPG)

http://cache.amobbs.com/bbs_upload782111/files_23/ourdev_516840.JPG
(原文件名:图11.JPG)

电路图及pcb:
点击此处下载 ourdev_516841.pdf(文件大小:320K) (原文件名:电路图和PCB.pdf)

FPGA工程包:
点击此处下载 ourdev_516899.rar(文件大小:16.28M) (原文件名:New_dso_project.rar)

reynold520 发表于 2009-12-20 11:21:49

up 一下。,不过看不到图片!

kunnsd 发表于 2009-12-20 11:23:29

网络不好上传几次都失败了,稍后再试试

kunnsd 发表于 2009-12-20 11:53:40

不知为什么,无法上传附件

armok 发表于 2009-12-20 12:25:20

现在可以了。刚才电信线路故障。

not_at_all 发表于 2009-12-20 12:25:51

顺便问一下,能否统计一下测试版示波器成本,有一个大概范围即可,不需要那么准确。

reynold520 发表于 2009-12-20 12:32:10

是的是的!


还有这个是不是可以当做一个FPGA 的开发板来用呢? 谢谢!

not_at_all 发表于 2009-12-20 12:41:27

【6楼】 reynold520
    还有这个是不是可以当做一个FPGA 的开发板来用呢?

   晶振 ram flash都有也有jtag接口当开发板是没问题的

xjf20072608 发表于 2009-12-20 12:48:35

哈哈,终于有结果了

lileistone 发表于 2009-12-20 13:31:48

做个记号,等上传完整了再过来学习~

kunnsd 发表于 2009-12-20 13:48:08

两点马上要考试了,晚上上传~

kdtcf 发表于 2009-12-20 13:58:02

记号,顶

stefgq 发表于 2009-12-20 14:23:24

先mark

tdmi 发表于 2009-12-20 14:27:18

等待中...

liyf 发表于 2009-12-20 15:07:42

记号

rainbow 发表于 2009-12-20 15:14:53

也记一下。

hclcwx 发表于 2009-12-20 15:22:06

记号

FlashNuk 发表于 2009-12-20 15:27:33

顶上去!

ggyyll8683 发表于 2009-12-20 15:28:15

mark

lwg998 发表于 2009-12-20 16:27:44

楼主是一个说到做到的好人,你的开源精神让我感动。别的忙帮不上,只能在此说声谢谢了。

taoriran 发表于 2009-12-20 16:36:34

期待

shinehjx 发表于 2009-12-20 16:39:52

强贴一定要顶

kunnsd 发表于 2009-12-20 17:09:07

资料已经上传!

kunnsd 发表于 2009-12-20 17:10:22

板子可以当FPGA的开发板使用,因为板子上的flash、sdram、EPCS4都有,而且有标准的JTAG和AS接口。

fool_boy 发表于 2009-12-20 17:14:09

恭喜:)
./emotion/em174.gif./emotion/em174.gif./emotion/em174.gif./emotion/em174.gif
./emotion/em174.gif./emotion/em174.gif./emotion/em174.gif./emotion/em174.gif
./emotion/em174.gif./emotion/em174.gif./emotion/em174.gif./emotion/em174.gif

windowsce 发表于 2009-12-20 17:49:12

买个空板可以么?
我有EP2C8和AD9288~

yushuang 发表于 2009-12-20 18:02:41

MARK

yangyb 发表于 2009-12-20 18:06:22

很不错啊,顶

kunnsd 发表于 2009-12-20 18:06:26

【26楼】 windowsce:
       不好意思,我的器件是按板子定的,现在器件都买回来了,等板子,所以没有空板~

cinderellah 发表于 2009-12-20 18:09:19

mark~越来越酷了~

shanyan 发表于 2009-12-20 18:10:28

MARK

windowsce 发表于 2009-12-20 18:23:14

请问那个LCD的型号是什么?是否有数据手册可以看看呢?

not_at_all 发表于 2009-12-20 18:43:56

能否增加X—Y测量功能?即x轴为ch1通道y轴为ch2通道   在某些场合显示比较直观,例如测量伏安特性

hzmsn 发表于 2009-12-20 18:56:14

MARK

bad_fpga 发表于 2009-12-21 00:20:24

MARK

252177861 发表于 2009-12-21 00:46:53

好资料!

windowsce 发表于 2009-12-21 01:47:58

趁着网快下载工程包看了看。。。
没看懂。。。

liyf 发表于 2009-12-21 02:20:41

FPGA工程包用哪个软件打开

yzlyear 发表于 2009-12-21 08:05:05

mark

a1z26 发表于 2009-12-21 08:11:04

mark.

dz-0532 发表于 2009-12-21 09:21:24

顶一下!什么时候出套件?

Excellence 发表于 2009-12-21 09:53:58

顶了。

WGJ5767351 发表于 2009-12-21 10:15:36

顶啊      下载中,       好东东

zhs19850202 发表于 2009-12-21 11:07:08

坤哥,能不能给出屏的资料及店家啊!感激不尽啊!

RENMA 发表于 2009-12-21 13:17:10

魏同学:
    能否共享下你的PCB的库文件?

zhiyuan1106 发表于 2009-12-21 13:21:29

mark

hy317 发表于 2009-12-21 13:40:09

mark

Xearo 发表于 2009-12-21 22:37:09

没有能力调试啊,只能等你的正式版了!

kunnsd 发表于 2009-12-22 12:16:29

【44楼】 zhs19850202:

      我的液晶屏是从电子市场订的,不是在淘宝上买的。

【45楼】 RENMA
   
      你想要什么库文件?我所使用的全部是DXP2008自带的库文件。

jgl7810 发表于 2009-12-22 12:26:15

强烈支持diy,有套件的时候通知我一下,谢谢!

solar_system 发表于 2009-12-22 12:29:13

顶上去

TornadoYu 发表于 2009-12-22 12:35:18

第一幅图中的channel下方的daul应为dual。。。

bbs2009 发表于 2009-12-22 16:44:03

恭喜!恭喜!狂顶!狂顶!
小声的问楼主:测试版示波器 有外壳吗?

soulcoffee 发表于 2009-12-22 16:51:07

顶了。

qilin3 发表于 2009-12-22 16:57:14

没别的,只能顶~~~

kunnsd 发表于 2009-12-22 17:35:10

【53楼】 bbs2009:

   没有外壳~

zbjzxc 发表于 2009-12-22 17:38:04

顶~~

yunfengren 发表于 2009-12-22 17:50:30

成功了.恭喜

kunnsd 发表于 2009-12-22 18:40:32

【33楼】 not_at_all :

    X-Y显示功能也准备做进去的,在更新的程序中会出现。

kele2009 发表于 2009-12-22 19:48:37

mark!

lwg998 发表于 2009-12-22 21:05:35

在过来看下有没有新情况了,支持楼主

lwg998 发表于 2009-12-22 21:08:23

在问下魏同学,图里的屏是多大的,2.8的吗

shanyan 发表于 2009-12-22 21:12:18

mark!

jaytessie 发表于 2009-12-22 21:57:00

顶啊
希望楼主尽快完成最终版

kunnsd 发表于 2009-12-22 22:00:27

【62楼】 lwg998


液晶用的是3.2寸的,不是2.8的~

weiwdr05 发表于 2009-12-22 22:13:49

Mark

ddaitt999 发表于 2009-12-22 22:50:27

又出猛料

jinghui01 发表于 2009-12-23 01:46:53

顶~~等套件

linhai 发表于 2009-12-23 09:45:53

好东西当然要分享

jeames 发表于 2009-12-23 09:53:40

顶,支持

liyf 发表于 2009-12-23 10:24:28

顶出个万能示波表

start00 发表于 2009-12-23 11:23:56

非常好

lwg998 发表于 2009-12-23 11:57:07

确实不错

loutianming 发表于 2009-12-23 12:51:32

要一套!支持开发!怎么联系?

springvirus 发表于 2009-12-24 11:58:13

顶,支持下!~!!!

liang628 发表于 2009-12-26 10:57:24

期待套件发行

hwdpaley 发表于 2009-12-28 11:56:42

谢谢魏同学了,学习中

lwg998 发表于 2009-12-28 12:30:20

路过继续关注

fsclub 发表于 2009-12-28 12:35:23

关注。等下正式版。没能力自己搞。

zhigang 发表于 2009-12-28 18:32:33

mark

XU_MAJIA 发表于 2009-12-28 21:17:37

up

hongsheel 发表于 2009-12-29 08:46:45

魏同学,在看dso.h文件中的init_dso()函数时,有个地方不明白,能否请教下?谢谢   
   for(i=0;i<300;i++)
   {
         clr_data_ch1=145;               //为什么初始化为145?
         clr_data_ch2=145;
   }

quanpower 发表于 2010-1-2 01:10:21

十分感兴趣

yixiu 发表于 2010-1-2 13:22:05

很好的项目,继续努力,希望早日见到套件。

175891641 发表于 2010-1-2 23:14:06

终究还是抛弃了MEGA系列的8位机!!!

应该把mega的潜能发挥出来

比如USBASp就是mege8的典型应用
还有老外单纯用一片mege16和几个电阻,晶振做了个RGB接口的电视游戏机
(包括RGB驱动,游戏RAM,ROM,手柄处理,声卡都在mega芯片内完成)

mslei 发表于 2010-1-3 13:48:59

以前一次看无线电杂志,看到过魏坤同学,是做示波器的,没想到在这又看到了,牛人啊

ele-boy 发表于 2010-1-4 15:44:02

lz 电路图中怎么没找到时钟电路?

wychao 发表于 2010-1-6 17:09:24

牛人啊,佩服

quanpower 发表于 2010-1-7 01:43:15

关注更新,回上海立马定套,不知道是否你们已经放假回家了?

andywyt 发表于 2010-1-7 22:09:06

一定要顶~~~~~~```

quanpower 发表于 2010-1-9 20:41:56

不知你做的板子到了没?我过几天回上海不知道你是不是考完回家了?我还想年前拿到过年的时候玩玩呢···

魏坤,想起来了,好像你今天应该是在考研吧,祝你考个好成绩,万事如意,梦想成真!!

ganguofeng 发表于 2010-1-12 13:41:59

有谁自己配了外壳的,发表一下!

yelong98 发表于 2010-1-15 09:31:14

问一下:第二版和测试版有什么主要的区别?

kunnsd 发表于 2010-1-15 09:46:10

测试版和正式版的区别不大:
1.去掉了nor flash存储器,将epcs4换成了更大的epcs16,从epcs16启动(提供大于1.5MB的程序存储空间)。
2.将去掉flash的多余引脚预留了sram的焊盘,便于升级。
3.将电源改用DC/DC,功耗降低40%。
4.预留了模拟部分的屏蔽盒焊盘。
其它没有变化。

mrchow 发表于 2010-1-24 01:36:37

Support, support. 強烈支持.

rainbow 发表于 2010-1-24 13:34:09

问一下,FPGA的工程包是用以下哪个版本的软件环境?
官网上的:(怎么这么大啊?)
05/30/08 12:00上午1,138,555,445 80_quartus_free.exe
05/30/08 12:00上午   72,516,875 80_quartus_programmer.exe
05/30/08 12:00上午2,207,930,970 80_quartus_windows.exe
谢谢!

dinsl 发表于 2010-1-24 13:51:59

顶一下 !

ralfak 发表于 2010-1-24 22:28:59

仔细看了电路图
有个问题,AD9288的模拟输入\AIN \BIN为什么直接就连接到两个电容上了。
根据手册,这是一个差分输入,接到地上,不应该悬空到电容上啊。
The analog input to the AD9288 is a differential buffer. For best dynamic performance, impedance at AIN and \AIN should match. Special care was taken in the design of the analog input stage of the AD9288 to prevent damage and corruption of data when the input is overdriven. The nominal input range is 1.024 V p-p centered at VD × 0.3.

还有就是如何保证这些电压不超过范围。

rainbow 发表于 2010-1-25 22:23:01

已经下了装了81_quartus_windows.exe ,找了个破解,可以编译.
FPGA我是一点都不懂啊,要好好学习一下才行啊!

wr1024 发表于 2010-1-26 13:40:51

请问魏师兄PDF的文件好像不能打样啊谢谢。
页: [1] 2
查看完整版本: 测试版示波器完整资料(电路图、工程包、pcb、照片) 资料已经上传!