tntbrain 发表于 2010-10-28 22:37:52

外扩sram的问题

我现在用m1280,外扩64ksram;使用的编译环境是iccavr7.22

如果外部电路没有错误,只要将外部sram使能,用绝对地址定义变量就可以正常使用了吧。

现在我不清楚是否还需要在icc中设置sram,为external 64k sram。

麻烦马老师和大家解答一下谢谢

tntbrain 发表于 2010-11-1 07:44:42

回复【楼主位】tntbrain
-----------------------------------------------------------------------

自己顶一下 请大家解答!
页: [1]
查看完整版本: 外扩sram的问题