sinotyk 发表于 2011-4-29 19:55:50

示波仪的时钟的疑问

对魏大侠的图纸研究后,发现fpga没有时钟输入信号,系统如何工作,请知道的答复,谢谢

kunnsd 发表于 2011-4-30 13:01:30

当时没有有源晶振的封装,在原理图中没有画出,可以在工程包的引脚定义中找到

sinotyk 发表于 2011-5-1 22:13:06

还没有研究工程包的代码,请魏大侠给出有源晶体输出到FPGA具体是哪个引脚,谢谢
页: [1]
查看完整版本: 示波仪的时钟的疑问