tangwei039 发表于 2012-5-27 21:56:38

cool{:smile:}{:smile:}

ql8261956 发表于 2012-5-29 10:04:23

McuPlayer 发表于 2012-5-15 18:11 static/image/common/back.gif
4、阻塞和非阻塞

话说大禹治水,因为他老爹治水失败被咔咔了,他不得已去顶缸。


"但,如果把非阻塞改为阻塞的,那小明娶的老婆,到底是谁?且看分析。
         in1 <= in1+8'h01;   //老小芳毕业,新小芳升级大四
         out <= in1;         //小明娶老婆
所谓阻塞,就是一步一步来,就是写软件的那个思路,小明顺利娶他昔日的恋人为妻。"

这里改为阻塞了好像是
in1 = in1+8'h01;   //老小芳毕业,新小芳升级大四
out = in1;         //小明娶老婆

Flyback 发表于 2012-6-6 13:29:43

McuPlayer 发表于 2012-5-27 21:49 static/image/common/back.gif
谢谢捧场,整个7个帖子实际完成时间,是我预估的2倍

一是确实有些忙,二是人有天然的惰性 ...

期待更进一步的XXOO系列

看了这个忽然对Verilog有好感了

难道!!我又恋爱了???

Flyback 发表于 2012-6-7 21:21:24

McuPlayer 发表于 2012-5-27 21:49 static/image/common/back.gif
谢谢捧场,整个7个帖子实际完成时间,是我预估的2倍

一是确实有些忙,二是人有天然的惰性 ...

为了查看方便,把lz的帖子做成了pdf,有不到之处还请说明

同时上传了word文档,要是有人觉得排版不可理,可以重新排一下,并上传pdf

electrony 发表于 2012-6-8 14:57:27

悄悄地在一旁听。。

McuPlayer 发表于 2012-6-8 15:04:15

最近太忙了,这个贴也比较完整了,不想继续更新了。
谢谢上面那位帮忙整理的同学。

orange-208 发表于 2012-6-8 15:39:50

狂顶!!!!

lg829 发表于 2012-6-9 21:49:28

支持楼主,学习先

skyxjh 发表于 2012-6-9 23:21:27

写得太好了,顶起来。

xaftp 发表于 2012-6-10 09:46:43

谢谢楼主!

jacobson 发表于 2012-6-10 22:03:30

写的太好了,可惜不更新了,遗憾,期待楼主搞点更深的东东

weihei 发表于 2012-6-10 23:59:13

感谢楼主分享。。在学ING。。。

hncjs 发表于 2012-6-11 00:22:51

写得太好了,顶起来。

水哥 发表于 2012-6-12 17:47:02

我来顶一个,这么好的资料!

womenhome 发表于 2012-6-12 18:01:07

跟踪下这个帖子。

搞了好久的FPGA了,也只是如了个门

foofd 发表于 2012-6-27 16:50:08

我也准备跟着楼主混了,目前我在做单片机方面工作,转型吧 我QQ 375261115

小黑鱼1148 发表于 2012-6-29 15:07:14

又见楼主伟岸的身躯

womenhome 发表于 2012-6-29 15:10:47

还没看。 标记,跟着楼主一起学。

pjn74a 发表于 2012-6-30 17:50:47

楼主不错,言简意赅

kebaojun305 发表于 2012-6-30 18:10:13

今天才看到   顶楼主顺便也复习下。说不定能有其他的发现。

JACK847070222 发表于 2012-6-30 18:15:53

学习了~

yu7622 发表于 2012-6-30 18:39:22

非常感谢楼主分享{:smile:}

oversoulcc 发表于 2012-6-30 21:16:43

坐下来,塌下心,好好学学!{:victory:}

exilefox 发表于 2012-6-30 23:03:47

感谢楼主提供如此生动的教程~!

Ie-w 发表于 2012-7-17 16:41:07

写的很好

Ie-w 发表于 2012-7-17 16:57:16

我刚学完verilog基本语法,这帖一看,相当相当的棒!!!

风轻云淡~ 发表于 2012-7-19 10:17:20

楼主真是天才,技术口才一级棒呀,可以开讲座了{:lol:}

pouty 发表于 2012-7-19 23:31:09

非常感谢楼主的帖子,本人初学FPGA,能得到这样的资料着实不易,再次感谢

hqjin 发表于 2012-7-20 00:02:03

安心学习 ,楼主真是大好人啊!本人正在学习FPGA

ganhui1300 发表于 2012-7-20 08:33:07

支持楼主好好学习!!!

alta 发表于 2012-7-20 13:26:16

看完,好帖

vichoice 发表于 2012-7-20 17:12:48

LZ幸苦了。。。

zcx2012 发表于 2012-7-20 18:34:55

搬个凳子过来听课

ztflmm 发表于 2012-7-21 09:34:32

好帖,看帖学习

tengguodong 发表于 2012-7-25 09:00:53

McuPlayer 发表于 2012-5-13 19:07 static/image/common/back.gif
1、Verilog语法




high = tmp<<4;            //喵喵的C语言
是不是应该是high = tmp>>4;我记得8位送给4位是将tmp的低4位送给high的, 右移后才能将原来的高四位移到低四位的位置

苹果520 发表于 2012-7-26 10:28:29

tengguodong 发表于 2012-7-25 09:00 static/image/common/back.gif
high = tmp4;我记得8位送给4位是将tmp的低4位送给high的, 右移后才能将原来的高四位移到低四位的位置 ...

我也是这么想的,上面还标识了一个high!

boxboxbox 发表于 2012-8-2 12:46:50

我打印出来了。。。

jiaweijing 发表于 2012-8-14 00:37:19

写得很不错,学习了!

logic.pn 发表于 2012-8-14 10:50:15

mark.正要学习fpga

lffpga 发表于 2012-8-21 14:01:24

讲的真好,谢谢留住,学习了

cumtcmeeczm 发表于 2012-12-27 17:18:57

入门了,哈哈

Mario 发表于 2012-12-27 17:55:17

一周学会XXOOO,这个不是本能吗?

hncjs 发表于 2012-12-27 19:09:18

好不错的

作家先生 发表于 2012-12-27 19:41:26

mark一下

wy2000 发表于 2012-12-27 20:37:30

最好能把教程都置顶

绿篱 发表于 2012-12-27 23:21:13

{:loveliness:}学习,看直播

823032003 发表于 2013-1-3 22:14:54

支持楼主

steven0419 发表于 2013-1-4 16:56:12

liangyurongde 发表于 2012-5-14 21:18 static/image/common/back.gif
请教一下,那个case四种情况输出的结果都是in0?不是说这个是四选 一吗? ...

很显而易见的错误,给楼主指出来就行了

steven0419 发表于 2013-1-4 17:07:02

楼主绝对是人才啊,把那么深的只是讲解得这么通俗!

llysc 发表于 2013-1-4 17:15:10

顶一个~~~~~~

ggyyll8683 发表于 2013-1-4 17:16:53

好帖{:handshake:}{:handshake:}

KunShan_a_dai 发表于 2013-1-4 17:24:11

很好的学习资料!

ilovemysel 发表于 2013-1-4 17:54:57

mark刘明。。

fffccchhh 发表于 2013-1-5 19:02:48

好资料,适合新来的,谢谢!

inspiriting 发表于 2013-1-7 17:06:11

LZ很有才华,适合写小说

lugang_2920213 发表于 2013-1-8 09:36:45

楼主好心人啊!

zhouxy0901 发表于 2013-1-13 22:36:15

不错,看完!

hongyancl 发表于 2013-1-19 16:01:11

跟着我从零开始入门FPGA,fpga基础知识

szp人贩子 发表于 2013-1-20 00:03:52

mark{:smile:} {:smile:} {:smile:}

swenlyn 发表于 2013-1-20 00:36:11

先mark,有时间来学习啦

_qtlove 发表于 2013-1-22 00:33:03

Good guy!
Marked and thanks for LZ share it.

NM2012 发表于 2013-1-22 07:51:30

楼主肯定玩的出神入化了

ndk 发表于 2013-1-22 08:05:33

mark{:biggrin:}

BloathX 发表于 2013-3-9 14:59:08

MARK,跟着楼主学习

siriux 发表于 2013-3-10 00:08:05

mark......

dai19881207 发表于 2013-3-11 08:06:00

mark一下~~

aoxiaoche 发表于 2013-3-11 13:47:46

好帖子,感谢!!

caesar_song 发表于 2013-3-11 15:02:57

HAODDONGhao 好东西啊 mark一下啊

lavenderfr 发表于 2013-3-11 19:31:33

先记下了

xpting 发表于 2013-3-14 15:28:16

非常通俗易懂,风趣的讲解,MARK一下备用。

Benyjuice 发表于 2013-3-18 19:07:41

楼主的帖子心很适合我这样的新手啊。值得学习。之前看过书,有些没理解的现在理解的很好了呀

cloudxxcloud 发表于 2013-3-18 20:51:50

mark一下

he33504898 发表于 2013-3-19 20:18:32

学习下,楼主辛苦了

enun123 发表于 2013-3-21 13:15:43

学习学习,MarK。

zjixxw 发表于 2013-3-21 15:14:01

支持,学习{:smile:}

wenfeiexe 发表于 2013-4-2 14:57:29

写的太给力了

fangyjuny 发表于 2013-4-2 17:21:58

要是有具体型号的芯片来实习就好了

fengye7251 发表于 2013-4-2 17:31:35

mark      

王的秋天 发表于 2013-4-2 17:49:51

楼主莫不如做成PDF出来,方便下载

McuPlayer 发表于 2013-4-19 17:28:10

这个是个入门贴,应该对FPGA的入门有帮助
非常感谢整理成word文件和PDF文件的网友

现在在做一个开源项目,做一个和Keil联调试的8051,欢迎有兴趣的朋友前来捧场
http://www.amobbs.com/thread-5530035-1-1.html

he33504898 发表于 2013-4-19 19:03:15

楼主,能写点关于时序约束的帖子吗?我写了代码但是时序分析,time quist飘红,hold time不满足,不知道时序约束怎么下手,请楼主写点这方面的帖子,给新手引下路

389704844 发表于 2013-4-20 21:27:31

{:smile:}{:smile:}{:smile:}

389704844 发表于 2013-4-20 21:30:38

{:smile:}{:smile:}{:smile:}{:smile:}

目完雪 发表于 2013-4-20 21:39:18

本帖最后由 目完雪 于 2013-4-20 21:52 编辑

只会51,爱上FPGA~

bruce_helen 发表于 2013-4-20 23:32:34

FPGA学习入门,mark一下。

suiwinder 发表于 2013-4-21 12:53:45

基础的东西太重要了,非常感谢楼主的无私分享。

vcprograme 发表于 2013-4-25 20:50:11

谢谢!!!!!!!

e315 发表于 2013-4-25 21:24:46

good
顶给有需要的人看。

aqjinhu 发表于 2013-4-27 17:24:54

学习一点吧,因为我不懂。

HMYJ 发表于 2013-4-27 18:38:47

mark 加油

ybbrdfxk 发表于 2013-4-27 18:39:11

支持楼主!!!

dtdzlujian 发表于 2013-4-27 21:41:31

写的非常好啊!支持

meirenai 发表于 2013-4-27 22:22:57

fpga                        

ksniper 发表于 2013-4-27 22:49:23

马克,我没基础

kalo425 发表于 2013-11-6 19:38:11

谢谢分享

laixiaoshuai90 发表于 2013-11-22 20:58:32

新手,顶起!

fk2011 发表于 2013-11-23 10:10:19

很好,很强大,很newbility!

xiaobendan001 发表于 2013-11-23 13:09:13

我有一个周立功的实验板子,吃灰很多年了
记得那时候学了点,感觉太抽象了,嚼不烂。
那个编辑器太坑爹了,有时候多打一个空格都不行!后来就放弃了,谁要低价转让了

yuntian 发表于 2013-11-24 15:43:33

标记,,正想了解学习一下

cuiwei589 发表于 2013-11-30 08:35:58

好,适合初学者。
页: 1 [2] 3 4
查看完整版本: 跟着我从零开始入门FPGA(一周入门XXOO系列)