WJRAN2993 发表于 2013-11-17 20:00:33

大西瓜FPGA视频音频+NIOS2开发套件发布与实战教程共享

本帖最后由 WJRAN2993 于 2013-11-18 12:26 编辑

      2013年,20nm的FPGA已经开始投入生产和运用,FPGA的大小、功耗也将也越来越小。也随着电子系统的日益复杂,多处理器集成的程度也越来越高,在FPGA内部集成ARM/DSP的多核FPGA的运用也将越来越广。相信现在这个阶段还是FPGA的高速发展阶段,因为它不断在进步,不断地去适应社会的需求。
    之前,大西瓜团队在阿莫上共享了我们一代FPGA开发板的学习资料,在论坛里得到很多网友的支持,再此先感谢各位!
    在继《FPGA实战手册》完成之后的一年里,我们团队一直在致力于设计一款适合FPGA进阶的板子,根据项目中一些小模块,制作了FPGA视频图像和音频信号的采集板,另外可以进行NIOS2设计。也花费了好几个月的时间编写了这个《FPGA视音頻实战演练》,该板卡涉及到的模块也比较多,在硬件的调试上也出现了诸多问题,经过不断的调试终于解决了硬件上不稳定的问题。为了让更多的FPGA爱好者能够更好地使用好FPGA,希望大家在本教程和实验操作中能体会到FPGA的优点和设计FPGA的注意点。
    在实际工程运用中,FPGA所承担的工作主要如下:
    高速数据存储和预处理,作为一个高速接口;
    高速数据采集;
    电机的精确控制;
    视频图像采集、处理;
    大型显示器、广告屏幕的驱动;
    IC前端设计验证……

    FPGA以其高速、易扩展、并行性、端口可设定……得到个方面的运用。
    在FPGA视音頻实战演练中,FPGA的强大优势都体现了出来,相信在这些实验的开展中,你会更好地学习到FPGA设计方面的专业知识,以及实际运用中经常涉及到的模块,以及FPGA设计中同步性问题。

    开发板资源介绍:
      

    教程目录:
      
      
      
      
      

      实验一览:
      详细的实验教程(图文并茂) + 详细的注释的实验代码让你轻松学习,加快你的开发!
   
      
      灰度处理:
   

      代码一览:
      

      实物一览:
      
      

      最后附上大西瓜精心制作的FPGA实战资料:   
      《FPGA实战手册V1.0》            共享百度网盘地址: http://pan.baidu.com/s/1j9xTF
      《FPGA II实战演练V1.0》         共享百度网盘地址: http://pan.baidu.com/s/19XOlm
         
      一代板资料发布贴:http://www.amobbs.com/thread-5512135-1-1.html   
      
       感谢对大西瓜FPGA团队的支持,希望共享的资料能给大家学习FPGA有所帮助! {:smile:}

ndt2000 发表于 2013-11-17 20:21:08

沙发,不错啊

mdcao 发表于 2013-11-17 20:27:58

看起来不错,刚接触到fpga,顺便有个疑问借问一下,fpga读写外部SRAM,如何用modlsim进行功能仿真呢?先谢谢呀!

WJRAN2993 发表于 2013-11-17 20:31:29

mdcao 发表于 2013-11-17 20:27 static/image/common/back.gif
看起来不错,刚接触到fpga,顺便有个疑问借问一下,fpga读写外部SRAM,如何用modlsim进行功能仿真呢?先谢 ...

代码设计完成之后,需要编写testbench,即测试文件,然后通过ModelSim进行仿真。如果之前对testbench不了解的话,建议先了解下,然后再学习下ModelSim的操作。

mdcao 发表于 2013-11-17 20:35:49

我最近两个月才接触到fpga,项目中用到,现在还能有着手做实际的项目,看了一些这方面的资料,我个人觉得fpga的重点在模块化开发,模块化写硬件语言程序,模块化编写测试文件testbench,模块化modlsim仿真,但是fpga外部硬件的模块如何使用modlsim仿真呢?正如上面我提出的问题。难道只能硬件仿真?这个疑惑请教下楼主…

bad_fpga 发表于 2013-11-17 20:36:32

{:lol:}没代码就当广告贴处理了

utopianism 发表于 2013-11-17 20:38:27

坐等分享。

mdcao 发表于 2013-11-17 20:40:42

WJRAN2993 发表于 2013-11-17 20:31
代码设计完成之后,需要编写testbench,即测试文件,然后通过ModelSim进行仿真。如果之前对testbench不了 ...

先谢谢楼主,真麽快就给了回复,代码和testbench基本没有大问题了,我也仿真了8080端口读写一类的简单的代码和测试文件,但是我不知道在PC上如何仿真fpga读写外部sram?手机打字慢了点……呵呵

mdcao 发表于 2013-11-17 20:44:05

现在的阶段是,fpga相关的开发软件,都没有问题了,代码也能写写,测试文件也不是问题,modlsim也搞定了,,

WJRAN2993 发表于 2013-11-17 20:49:47

mdcao 发表于 2013-11-17 20:35 static/image/common/back.gif
我最近两个月才接触到fpga,项目中用到,现在还能有着手做实际的项目,看了一些这方面的资料,我个人觉得fp ...

外部的硬件,你可以编写testbench模拟外部硬件的接口时序与数据格式,然后进行ModelSim仿真。仿真是进行FPGA设计一个很重要的环节。仿真之外,你可以使用开发软件的内部自带的逻辑分析仪,如QuartusII的SingalTapII 这个就不是仿真,是对实际的接口信号测试。

WJRAN2993 发表于 2013-11-17 20:53:58

mdcao 发表于 2013-11-17 20:44 static/image/common/back.gif
现在的阶段是,fpga相关的开发软件,都没有问题了,代码也能写写,测试文件也不是问题,modlsim也搞定了, ...

建议这位网友,因为你是刚刚接触,我觉得你还是先把基础打好,找一块比较基础的板子,把板子上的各个模块先学会学通,同时对FPGA设计方面的一些理论知识进行学习,比如同步设计等等。另外养成良好的代码风格,这对你以后FPGA设计会比较好。

xuxms 发表于 2013-11-17 20:55:55

没有网盘地址啊。不过还是支持一下楼主

mdcao 发表于 2013-11-17 20:59:17

WJRAN2993 发表于 2013-11-17 20:49
外部的硬件,你可以编写testbench模拟外部硬件的接口时序与数据格式,然后进行ModelSim仿真。仿真是进行F ...

好像有点明白了,有思路了,实际去操作一下看看,谢谢楼主…

jm2011 发表于 2013-11-17 21:01:07

感觉《FPGA视音頻实战演练》这个文档还是不错的。WJRAN2993能共享一下吗?

mdcao 发表于 2013-11-17 21:03:55

WJRAN2993 发表于 2013-11-17 20:53
建议这位网友,因为你是刚刚接触,我觉得你还是先把基础打好,找一块比较基础的板子,把板子上的各个模块 ...

公司有现成的fpga驱动tft屏的产品,我要去动手改里面的bug和增加其他功能,,,

mdcao 发表于 2013-11-17 21:10:58

以前的思考总是进入死胡同,我能仿真8080接口,能仿真tft时序,那我也能写个Sram的时序和应答来仿真嘛。在谢楼主…

WJRAN2993 发表于 2013-11-17 21:13:00

xuxms 发表于 2013-11-17 20:55 static/image/common/back.gif
没有网盘地址啊。不过还是支持一下楼主

共享地址已发布

xuxms 发表于 2013-11-17 21:16:59

WJRAN2993 发表于 2013-11-17 21:13 static/image/common/back.gif
共享地址已发布

谢谢楼主

Sheng7 发表于 2013-11-17 21:30:37

之前买过大西瓜的开发板,还可以。觉得教程蛮不错的,对初学者很适用。现在出二代板,还是支持一下!感谢楼主共享资料!

WJRAN2993 发表于 2013-11-17 21:32:26

Sheng7 发表于 2013-11-17 21:30 static/image/common/back.gif
之前买过大西瓜的开发板,还可以。觉得教程蛮不错的,对初学者很适用。现在出二代板,还是支持一下!感谢楼 ...

感谢你的支持!

sky_walker 发表于 2013-11-17 22:12:50

谢谢,能帮忙给个百度网盘的链接么,115很不方便啊

Yunux 发表于 2013-11-18 00:22:40

好东西,顶啊。{:lol:}

hamipeter 发表于 2013-11-18 09:27:45

支持一下!!

随风_98 发表于 2013-11-18 10:02:12

不错的样子!支持原创。。

vtte 发表于 2013-11-18 10:09:09

兄弟,现在都流行HDMI了啊,vga要淘汰了{:titter:}

jm2011 发表于 2013-11-18 10:21:42

这个文档写的,不说内容怎么样,就是排版真的赏心悦目啊。。。

bad_fpga 发表于 2013-11-18 10:58:58

WJRAN2993 发表于 2013-11-17 21:32 static/image/common/back.gif
感谢你的支持!

最后一章视频处理的没发出来?要买板才能看吗?

jm2011 发表于 2013-11-18 11:23:50

bad_fpga 发表于 2013-11-18 10:58 static/image/common/back.gif
最后一章视频处理的没发出来?要买板才能看吗?

同问。。。

jordonwu 发表于 2013-11-18 12:19:32

这板多少米?

WJRAN2993 发表于 2013-11-18 12:30:23

bad_fpga 发表于 2013-11-18 10:58 static/image/common/back.gif
最后一章视频处理的没发出来?要买板才能看吗?

是的,这份视频文档暂时不公开

WJRAN2993 发表于 2013-11-18 12:31:07

jm2011 发表于 2013-11-18 11:23 static/image/common/back.gif
同问。。。

是的,视频这份文档暂时不公开

WJRAN2993 发表于 2013-11-18 12:31:53

sky_walker 发表于 2013-11-17 22:12 static/image/common/back.gif
谢谢,能帮忙给个百度网盘的链接么,115很不方便啊

已经转到百度网盘,欢迎下载!!

WJRAN2993 发表于 2013-11-18 12:35:55

vtte 发表于 2013-11-18 10:09 static/image/common/back.gif
兄弟,现在都流行HDMI了啊,vga要淘汰了

我们主要是针对一些想对FPGA入门以及提高的朋友,比较着重基础我们希望能给一些初学FPGA的朋友打来帮助{:smile:}

WJRAN2993 发表于 2013-11-18 12:37:30

jm2011 发表于 2013-11-18 10:21 static/image/common/back.gif
这个文档写的,不说内容怎么样,就是排版真的赏心悦目啊。。。

谢谢支持!这教程是我们团队花了很长时间写的,希望能给大家带来帮助!

WJRAN2993 发表于 2013-11-18 18:25:50

jordonwu 发表于 2013-11-18 12:19 static/image/common/back.gif
这板多少米?

可以到淘宝了解

Sheng7 发表于 2013-11-18 22:00:51

昨天下了大西瓜的教学视频,不错!顶!

guo407214944 发表于 2016-9-8 10:20:19

你们开发板在哪里卖呢。淘宝上没理我
页: [1]
查看完整版本: 大西瓜FPGA视频音频+NIOS2开发套件发布与实战教程共享