Chash 发表于 2015-11-11 12:22:07

Modelsim仿真ASK时无法读入外部文件数据,求助!

本帖最后由 Chash 于 2015-11-11 12:45 编辑

在看一本书《数字调制解调技术的MATLAB与FPGA实现》的ASK调制解调章节,对配套光盘ASK解调例子进行仿真时,用的外部TXT文件内部的数据作为信号输入,
在编写的Testbench文件中调用了$readmemb("ASK2.txt",stimulus);对文件进行读,而且文件放置在"工程目录\simulation\modelsim"路径下,但是调用Modesim
仿真时没有信号输入也没有输出,仿真波形全是0,那说明输入没有读到数据,不知道这个问题该怎么解决?


gnocy 发表于 2015-11-11 16:36:14

要发也是发源码资料,这样谁也看不懂啊

far_infrared 发表于 2015-11-11 19:48:16

把要读取的文件和工程文件放同一目录下。

FPGA_WALKER 发表于 2015-11-11 20:40:25

$readmemb("ASK2.txt",stimulus);加上绝对路径,例如:$readmemb("d:/my_sim/ASK2.txt",stimulus);

FPGA_WALKER 发表于 2015-11-11 20:43:49

注意MODELSIM的第一级目录不能是反斜杆

Chash 发表于 2015-11-12 11:06:46

FPGA_WALKER 发表于 2015-11-11 20:40
$readmemb("ASK2.txt",stimulus);加上绝对路径,例如:$readmemb("d:/my_sim/ASK2.txt",stimulus); ...

谢谢你!
页: [1]
查看完整版本: Modelsim仿真ASK时无法读入外部文件数据,求助!