风风火火 发表于 2020-7-9 18:02:31

请教modelsim用xilinx的ip仿真

如题,请问如何在modelsim中用xilinx的ip仿真?ip有很多.v .vhdl .xdc文件,是都要加入modelsim工程吗

armok. 发表于 2020-7-9 19:58:39

打赏!

庆祝论坛“打赏”功能实施, 现在开始发技术主题,可以获得打赏
https://www.amobbs.com/thread-5735948-1-1.html

wye11083 发表于 2020-7-9 20:49:38

仿真一般都是前仿,后仿很少用到。在ise里面可以直接编译出modelsim用的库,vivado不清楚。用ise或vivado调用时路径会自动给你设好,所以不需要手动添加。注意需要手动添加include路径,否则找不到vh文件。

get500wan 发表于 2020-7-9 22:26:14

xdc是约束文件,仿真不需要。ip生成时,v和vhd可选,用一种进行仿真就行。ise环境下,corelib需要事先编译好。vivado里有个tcl命令,可以专门生成ip的仿真环境,具体命令记不住了,可以网上查一下。

Alpha_Lee 发表于 2020-7-9 23:42:08

vivado设置里面也可以关联modelsim,并且有编译仿真库的选项,编译完成之后就可以使用,很方便

风风火火 发表于 2020-7-13 08:30:42

谢谢各位了,我是用后仿真

get500wan 发表于 2020-7-16 13:49:13

风风火火 发表于 2020-7-13 08:30
谢谢各位了,我是用后仿真

如果是后仿,应该更省事儿,把simprims库搞定就行了。 除了dcm、pcie等这种硬核,其他的ip综合后都打平了,都是底层的单元了....
页: [1]
查看完整版本: 请教modelsim用xilinx的ip仿真