zxq6 发表于 2020-10-30 21:09:11

stm32的mco输出时钟,可以作为fpga的输入吗?

如题,中间串了50r电阻。频率是25M,
fpga是ep4ce6f17c8n,
现在发现的问题是fpga的pll无法锁定频率,其locked一直为低。
尝试用外部有源晶振,同样没法锁定。
尝试在中间通过电容耦合过去,同样没法锁定。
设置pll1倍输出,结果输入25M,输出只有130k的样子。
难道bga封装的芯片跟qfp的使用方法不一样?
供电使用的是io 3.3v,vcca 2.5v,vint 1.2v,用示波器测纹波挺好的。
目前找不到方向了,请有经验的大虾提点一下,谢谢。

wye11083 发表于 2020-10-30 22:10:44

你io估计设的不对。另外你看看是不是写成output了。

whatcanitbe 发表于 2020-10-31 23:15:50

可以的,stm32lattice试过

justdomyself 发表于 2020-10-31 23:57:21

我是反着来,fpga输出时钟给stm32

zxq6 发表于 2020-11-4 17:38:21

wye11083 发表于 2020-10-30 22:10
你io估计设的不对。另外你看看是不是写成output了。

仔细检查了原理图,发现VDDPLL的两个引脚都没接,估计是这个原因。
改版的板子还在路上,等回来测了就知道是不是这个问题了。

zxq6 发表于 2020-11-4 17:38:46

whatcanitbe 发表于 2020-10-31 23:15
可以的,stm32lattice试过

谢谢,我觉得也应该是可以的,后来仔细检查原理图,发现了问题。

zxq6 发表于 2020-11-4 17:39:08

justdomyself 发表于 2020-10-31 23:57
我是反着来,fpga输出时钟给stm32

以前我也这么用过,是cpld分频后给lm3S提供时钟信号。

zxq6 发表于 2020-11-8 16:29:09

wye11083 发表于 2020-10-30 22:10
你io估计设的不对。另外你看看是不是写成output了。

谢谢您的指导。
重新做了板子,确认了是2个供电的引脚没接,即VDD_PLL,郁闷死,BGA封装又没法飞线。只有浪费时间和money了。
页: [1]
查看完整版本: stm32的mco输出时钟,可以作为fpga的输入吗?