正点原子 发表于 2020-11-13 10:36:32

【正点原子FPGA连载】第十一章触摸按键控制LED灯实验

本帖最后由 正点原子 于 2021-1-25 18:36 编辑

1)实验平台:正点原子达芬奇FPGA开发板
2)购买链接:https://detail.tmall.com/item.htm?id=624335496505
3)全套实验源码+手册+视频下载地址:http://www.openedv.com/docs/boards/fpga/zdyz_dafenqi.html
4) 正点原子官方B站:https://space.bilibili.com/394620890
5)对正点原子FPGA感兴趣的同学可以加群讨论:905624739 点击加入:





第十一章触摸按键控制LED灯实验


随着电子技术的不断发展,触摸按键的应用场景越来越广泛。触摸按键在稳定性、使用寿命、抗干扰能力等方面都优于传统的机械按键,被广泛应用于遥控器,便携电子设备,楼道电灯开关,各类家电控制面板等方面。本章将介绍触摸按键的控制方法并使用开发板上的触摸按键控制LED的亮灭。
本章分为以下几个章节:
1111.1简介
11.2实验任务
11.3硬件设计
11.4程序设计
11.5下载验证


11.1简介
触摸按键主要可分为四大类:电阻式、电容式、红外感应式以及表面声波式。根据其属性的不同,每种触摸按键都有其合适的使用领域。
电阻式触摸按键由多块导电薄膜按照按键的位置印制而成,但由于耐用性较差且维护复杂,目前使用率较低;红外感应式触摸按键通过红外扫描来识别按键位置,一般在较恶劣的环境下使用;表面声波式触摸按键利用声波扫描来识别是否按下,使用寿命长,较适合公共场所的POS机,无人售货机等处使用。
电容式触摸按键:这种按键的诞生主要是为了克服电阻式按键耐用性差的不足所提出的。电容式触摸按键采用电容量为评判标准,其感应区域可穿透绝缘外壳(玻璃、塑料等)20mm以上。其灵敏度和可靠性不会因环境条件的改变或长期使用而发生变化,具有防水、强抗干扰能力强、适应温度范围广以及使用寿命长等优点,是现代使用最广泛,发展最迅速的一种触摸按键。
接下来,我们具体了解一下电容触摸按键的构造和工作原理。
电容触摸按键主要由按键IC部分和电容部分构成。按键IC部分主要由元器件供应商提供,用于将电容的变化转换为电信号。电容部分指的是由电容极板、地、隔离区等组成触摸按键的电容环境。
任何两个导电的物体之间都存在着感应电容,在周围环境不变的情况下,该感应电容值是固定不变的。如下图所示,手指接触到触摸按键时,按键和手指之间产生寄生电容,使按键的总容值增加。

图 11.1.1 触摸按键寄生电容示意图
触摸按键按下前后,电容的变化如下图所示。电容式触摸按键IC在检测到按键的感应电容值改变,并超过一定的阈值后,将输出有效信号表示按键被按下。

图 11.1.2 触摸过程电容变化示意图
11.2实验任务
本节的实验任务是使用触摸按键控制LED灯的亮灭,开发板上电后LED为点亮状态,手指触摸后LED熄灭;当再次触摸时,LED点亮。
11.3硬件设计
达芬奇开发板上的触摸按键部分的原理图如图 11.3.1所示。其中TPAD是芯片的输出引脚,连接到达芬奇开发板的IO端口。

图 11.3.1 触摸按键电路原理图
开发板上所使用的触摸IC型号为AR101(或者JL223B,和AR101完全兼容),它可以通过OP1和OP2两个引脚选择不同的工作模式:OP1拉低时,OUT引脚输出信号高电平有效;OP1拉高时,OUT输出信号低电平有效。
当OP2拉低时,触摸IC工作在同步模式(类似于非自锁的轻触按键),即触摸时输出有效电平,松开后输出无有效电平;OP2拉高时触摸IC工作在保持模式(类似于自锁按键),即检测到触摸操作后输出有效电平,松开后,输出电平保持不变。当再次检测到触摸操作时,输出电平变化并继续保持。
图 11.3.1中触摸IC的引脚OP1和OP2均拉低,因此当手指按在触摸按键上时,TOUT管脚输高电平,松开后输出低电平。
本实验中,系统时钟、复位按键、触摸按键和LED灯的管脚分配如下表所示。
表 11.3.1 触摸按键控制LED管脚分配图

对应的XDC约束语句如下所示:
#IO约束
set_property -dict {PACKAGE_PIN R4 IOSTANDARD LVCMOS33}
set_property -dict {PACKAGE_PIN U2 IOSTANDARD LVCMOS33}
set_property -dict {PACKAGE_PIN T5 IOSTANDARD LVCMOS33}
set_property -dict {PACKAGE_PIN R2 IOSTANDARD LVCMOS33}
11.4程序设计
本次设计的模块端口及信号连接如下图所示。通过捕获触摸按键端口的上升沿,得到一个时钟周期的脉冲信号,来控制LED灯的亮灭。

图 11.4.1 模块端口及信号连接图
触摸按键控制LED代码如下:
1module touch_led(
2      //input
3      input      sys_clk,      //时钟信号50Mhz
4      input      sys_rst_n,    //复位信号
5      input      touch_key,    //触摸按键
6   
7      //output
8      outputregled         //LED灯
9);
10
11 //reg define
12 reg    touch_key_d0;
13 reg    touch_key_d1;
14
15 //wire define
16 wire   touch_en;
17
18 //*****************************************************
19 //**                  main code
20 //*****************************************************
21
22 //捕获触摸按键端口的上升沿,得到一个时钟周期的脉冲信号
23 assigntouch_en = (~touch_key_d1) & touch_key_d0;
24
25 //对触摸按键端口的数据延迟两个时钟周期
26 always @ (posedge sys_clk or negedge sys_rst_n) begin
27   if(!sys_rst_n) begin
28         touch_key_d0 <= 1'b0;
29         touch_key_d1 <= 1'b0;
30   end
31   else begin
32         touch_key_d0 <= touch_key;
33         touch_key_d1 <= touch_key_d0;
34   end
35 end
36
37 //根据触摸按键上升沿的脉冲信号切换led状态
38 always @ (posedge sys_clk or negedge sys_rst_n) begin
39   if (!sys_rst_n)
40         led <= 1'b1;       //默认状态下,点亮LED
41   else begin
42         if (touch_en)
43             led <= ~led;
44   end
45 end
46
47 endmodule
程序中第22至35行是一个经典的边沿检测电路,通过检测touch_key的上升沿来捕获按键按下的信号,一旦检测到按键按下,输出一个时钟周期的脉冲touch_en。每当检测到touch_en的脉冲信号,led取反一次。
为了验证我们的程序,我们在Vivado内对代码进行仿真。
Testbench模块代码如下:
1`timescale 1ns / 1ps
2
3module tb_touch_led();
4
5//reg define
6reg   sys_clk;
7reg   sys_rst_n;   
8reg   touch_key;
9
10 //wire define
11 wire          led ;
12         
13 always #10 sys_clk = ~sys_clk;
14
15 initial begin
16   sys_clk = 1'b0;
17   sys_rst_n = 1'b0;
18   touch_key = 0;
19   #200
20   sys_rst_n = 1'b1;
21   //touch_key信号变化
22   #40touch_key = 1'b1 ;//40ns后触摸按键按下
23   #200 touch_key = 1'b0 ;//200ns触摸按键抬起
24   #40touch_key = 1'b1 ;//40ns后触摸按键按下
25   #200 touch_key = 1'b0 ;//200ns触摸按键抬起
26   #40touch_key = 1'b1 ;//40ns后触摸按键按下
27   #200 touch_key = 1'b0 ;//200ns触摸按键抬起
28   #40touch_key = 1'b1 ;//40ns后触摸按键按下
29   #200 touch_key = 1'b0 ;//200ns触摸按键抬起            
30 end
31
32 touch_ledu_touch_led(
33   .sys_clk   (sys_clk),
34   .sys_rst_n (sys_rst_n),
35   .touch_key (touch_key),
36   .led       (led)
37 );
38
39 endmodule
仿真得到的波形图如图 11.4.2所示。从图中可以看出,当touch_key信号由低电平变为高电平时,touch_key_d0和touch_key_d1信号分别延迟touch_key一个时钟周期和两个时钟周期,将touch_key_d0信号的和取反后的touch_key_d1信号相与,就得到一个时钟周期的脉冲信号(touch_en)。当检测到touch_en信号为高电平时,对led信号进行取反,从而实现触摸按键控制led灯的功能。

图 11.4.2 仿真波形
11.5下载验证
编译工程并生成比特流.bit文件。将下载器一端连接电脑,另一端与开发板上的JTAG下载口连接,连接电源线,并打开开发板的电源开关。
点击Vivado左侧“Flow Navigator”窗口最下面的“Open Hardware Manager”,此时Vivado软件识别到下载器,点击“Hardware”窗口中“Progam Device”下载程序,在弹出的界面中选择“Program”下载程序。
程序下载完成后,可以看到达芬奇开发板上的LED0处于点亮状态,触碰一次触摸按键,就可以看到LED灯熄灭,再次触摸点亮LED灯。如下图所示:

图 11.5.1 触摸按键控制LED灯实验现象




页: [1]
查看完整版本: 【正点原子FPGA连载】第十一章触摸按键控制LED灯实验