正点原子 发表于 2021-1-25 16:48:44

【正点原子FPGA连载】第十二章呼吸灯实验

1)实验平台:正点原子超越者FPGA开发板
2)章节摘自【正点原子】超越者之FPGA开发指南
3)购买链接:https://item.taobao.com/item.htm?&id=631660290421
4)全套实验源码+手册+视频下载地址:http://www.openedv.com/docs/boards/fpga/zdyz-chaoyuezhe.html
5)正点原子官方B站:https://space.bilibili.com/394620890
6)正点原子FPGA技术交流群:905624739





第十二章呼吸灯实验

呼吸灯最早由苹果公司发明并应用于笔记本睡眠提示上,其一经展出,立刻吸引众多科技厂商争相效仿,并广泛用于各种电子产品中,尤其是智能手机。呼吸灯其实是在微处理器的控制下,由暗渐亮、然后再由亮渐暗,模仿人呼吸方式的LED灯。
本章分为以下几个章节:
1212.1简介
12.2实验任务
12.3硬件设计
12.4程序设计
12.5下载验证
12.1
简介
呼吸灯采用PWM的方式,在固定的频率下,通过调整占空比的方式来控制LED灯亮度的变化。PWM(Pulse Width Modulation),即脉冲宽度调制,它利用微处理器输出的PWM信号,实现对模拟电路控制的一种非常有效的技术,广泛应用于测量、通信、功率控制等领域。
在由计数器产生的固定周期的PWM信号下,如果其占空比为0,则LED灯不亮;如果其占空比为100%,则LED灯最亮。所以将占空比从0到100%,再从100%到0不断变化,就可以实现LED灯的“呼吸”效果。
PWM占空比调节示意图如下图所示:

图 12.1.1 呼吸灯PWM占空比示意图
由上图可知,LED高电平的时间由长渐渐变短,再由短渐渐变长,如果LED灯是高电平点亮,则LED灯会呈现出亮度由亮到暗,再由暗到亮的过程。
12.2实验任务
本节实验任务是使用超越者开发板上的LED,实现呼吸灯的效果,即由灭渐亮,然后再由亮渐灭。
12.3硬件设计
LED原理图与“流水灯实验”完全相同,请参考“流水灯实验”硬件设计部分。由于LED灯在前面相应的章节中已经给出它们的管脚列表,这里不再列出管脚分配。
12.4程序设计
本次实验的模块端口及结构框图如下图所示。

图 12.4.1 模块端口及信号连接图
周期信号计数器用于产生驱动LED的脉冲信号,本次实验的周期信号频率为1Khz,其占空比由后级逻辑在每个周期之后进行递增或递减,最后再对当前计数值和占空比计数值进行比较,以输出占空比可调的脉冲信号。
呼吸灯代码如下:
1module breath_led(
2      input   sys_clk   ,//时钟信号50Mhz
3      input   sys_rst_n ,//复位信号
4
5      outputled          //LED
6);
7
8//reg define
9regperiod_cnt ;   //周期计数器频率:1khz 周期:1ms计数值:1ms/20ns=50000
10 regduty_cycle ;   //占空比数值
11 reg          inc_dec_flag ; //0 递增1 递减
12
13 //*****************************************************
14 //**                  main code
15 //*****************************************************
16
17 //根据占空比和计数值之间的大小关系来输出LED
18 assign   led = (period_cnt >= duty_cycle) ?1'b1:1'b0;
19
20 //周期计数器
21 always @(posedge sys_clk or negedge sys_rst_n) begin
22   if(!sys_rst_n)
23         period_cnt <= 16'd0;
24   else if(period_cnt == 16'd50000)
25         period_cnt <= 16'd0;
26   else
27         period_cnt <= period_cnt + 1'b1;
28 end
29
30 //在周期计数器的节拍下递增或递减占空比
31 always @(posedge sys_clk or negedge sys_rst_n) begin
32   if(!sys_rst_n) begin
33         duty_cycle   <= 16'd0;
34         inc_dec_flag <= 1'b0;
35   end
36   else begin
37         if(period_cnt == 16'd50000) begin    //计满1ms
38             if(inc_dec_flag == 1'b0) begin   //占空比递增状态
39               if(duty_cycle == 16'd50000)//如果占空比已递增至最大
40                     inc_dec_flag <= 1'b1;    //则占空比开始递减
41               else                         //否则占空比以25为单位递增
42                     duty_cycle <= duty_cycle + 16'd25;
43             end
44             else begin                     //占空比递减状态
45               if(duty_cycle == 16'd0)      //如果占空比已递减至0
46                     inc_dec_flag <= 1'b0;    //则占空比开始递增
47               else                         //否则占空比以25为单位递减
48                     duty_cycle <= duty_cycle - 16'd25;
49             end
50         end
51   end
52 end
53
54 endmodule
第21-28行是1KHz周期信号的计数器,用于产生1KHz的LED驱动信号。第31-52行的always块为占空比设定模块,每次计数完了一个周期,就根据递增/递减标志来对占空比计数值(duty_cycle)进行递增/递减25个计数值,这个递增或者递减的数值大小可以用来控制呼吸灯的呼吸频率。
如果占空比计数值(duty_cycle)已经递增到了最大,则呼吸灯已经处于最亮的状态,接下来开始递减;反之,如果占空比计数至已经递减到了最小,即0,则呼吸灯处于熄灭的状态,接下来开始递增;如此循环往复,最终实现了呼吸灯的效果。
在代码的第18行通过组合逻辑把当前的周期计数值和占空比计数值进行比较,来判断LED的输出电平。在一个周期内,如果当前的周期计数值小于等于占空比计数值,则LED输出高电平,即点亮;如果当前的周期计数值大于占空比计数值,则LED输出低电平,即熄灭。
12.5下载验证
首先我们打开呼吸灯工程,在工程所在的路径下打开breath_led/prj/breath_led文件夹,在里面找到“breath_led.xise”并双击打开。注意工程所在的路径名只能由字母、数字以及下划线组成,不能出现中文、空格以及特殊字符等。breath_led工程打开后如图 12.5.1所示。


图 12.5.1 呼吸灯工程
工程打开后双击“Configure Target Device”一栏中的“Manage Configuration Project(iMAPCT)” (上图红框位置),在弹出的界面中双击“Boundary Scan”,下载界面如图 12.5.2所示。

图 12.5.2 程序下载界面
如下图 12.5.3所示。将Xilinx下载器一端连接电脑,另一端与开发板上的JTAG下载口连接,然后连接电源线。

图 12.5.3 超越者开发板实物图
打开电源开关,接下来我们下载程序,验证呼吸灯功能。
开发板电源打开后,点击工具栏中的“Initialize chain”图标(图 12.5.4红框位置),添加工程目录下的“breath_led.bit”文件。然后双击“Program”将工程编译完成后得到的bit文件下载到开发板中,如图 12.5.5所示。


图 12.5.4 硬件连接

图 12.5.5 程序下载完成界面
下载完成后,就能在开发板上看到呼吸灯的效果如下图所示。

图 12.5.6 呼吸灯效果图

页: [1]
查看完整版本: 【正点原子FPGA连载】第十二章呼吸灯实验