正点原子 发表于 2021-9-29 15:55:44

《新起点V2之FPGA开发指南》第十二章 呼吸灯实验

本帖最后由 正点原子 于 2021-10-30 10:26 编辑

1)实验平台:正点原子新起点V2FPGA开发板
2)章节摘自【正点原子】《新起点之FPGA开发指南 V2.1》
3)购买链接:https://detail.tmall.com/item.htm?id=609758951113
4)全套实验源码+手册+视频下载地址:http://www.openedv.com/thread-328002-1-1.html
5)正点原子官方B站:https://space.bilibili.com/394620890
6)正点原子FPGA技术交流QQ群:712557122







第十二章 呼吸灯实验
       呼吸灯最早由苹果公司发明并应用于笔记本睡眠提示上,其一经展出,立刻吸引众多科技厂商争相效仿,并广泛用于各种电子产品中,尤其是智能手机。呼吸灯其实是在微处理器的控制下,由暗渐亮、然后再由亮渐暗,模仿人呼吸方式的LED灯。
       本章分为以下几个章节:
       11.1简介
       1.2实验任务
       1.3硬件设计
       1.4程序设计
       1.5下载验证

1.1简介
       呼吸灯采用PWM的方式,在固定的频率下,通过调整占空比的方式来控制LED灯亮度的变化。PWM(Pulse Width Modulation),即脉冲宽度调制,它利用微处理器输出的PWM信号,实现对模拟电路控制的一种非常有效的技术,广泛应用于测量、通信、功率控制等领域。
       在由计数器产生的固定周期的PWM信号下,如果其占空比为0,则LED灯不亮;如果其占空比为100%,则LED灯最亮。所以将占空比从0到100%,再从100%到0不断变化,就可以实现LED灯的“呼吸”效果。
       PWM占空比调节示意图如下图所示:

图 12.1.1 呼吸灯PWM占空比示意图
       由上图可知,LED高电平的时间由长渐渐变短,再由短渐渐变长,如果LED灯是高电平点亮,则LED灯会呈现出亮度由亮到暗,再由暗到亮的过程。
1.2实验任务
       本节实验任务是使用新起点开发板上的LED,实现呼吸灯的效果,即由灭渐亮,然后再由亮渐灭。
1.3硬件设计
       LED原理图与“流水灯实验”完全相同,请参考“流水灯实验”硬件设计部分。由于LED灯在前面相应的章节中已经给出它们的管脚列表,这里不再列出管脚分配。
1.4程序设计
       本次实验的模块端口及结构框图如下图所示。

图 12.4.1 模块端口及信号连接图
       周期信号计数器用于产生驱动LED的脉冲信号,本次实验的周期信号频率为1Khz,其占空比由后级逻辑在每个周期之后进行递增或递减,最后再对当前计数值和占空比计数值进行比较,以输出占空比可调的脉冲信号。
       呼吸灯代码如下:
1   module breath_led(
2       input   sys_clk   ,//时钟信号50Mhz
3       input   sys_rst_n ,//复位信号
4   
5       outputled          //LED
6   );
7   
8   //reg define
9   regperiod_cnt ;   //周期计数器频率:1khz 周期:1ms计数值:1ms/20ns=50000
10regduty_cycle ;   //占空比数值
11reg          inc_dec_flag ; //0 递增1 递减
12
13//*****************************************************
14//**                  main code
15//*****************************************************
16
17//根据占空比和计数值之间的大小关系来输出LED
18assign   led = (period_cnt >= duty_cycle) ?1'b1:1'b0;
19
20//周期计数器
21always @(posedge sys_clk or negedge sys_rst_n) begin
22      if(!sys_rst_n)
23          period_cnt <= 16'd0;
24      else if(period_cnt == 16'd50000)
25          period_cnt <= 16'd0;
26      else
27          period_cnt <= period_cnt + 1'b1;
28end
29
30//在周期计数器的节拍下递增或递减占空比
31always @(posedge sys_clk or negedge sys_rst_n) begin
32      if(!sys_rst_n) begin
33          duty_cycle   <= 16'd0;
34          inc_dec_flag <= 1'b0;
35      end
36      else begin
37          if(period_cnt == 16'd50000) begin    //计满1ms
38            if(inc_dec_flag == 1'b0) begin   //占空比递增状态
39                  if(duty_cycle == 16'd50000)//如果占空比已递增至最大
40                      inc_dec_flag <= 1'b1;    //则占空比开始递减
41                  else                         //否则占空比以25为单位递增
42                      duty_cycle <= duty_cycle + 16'd25;
43            end
44            else begin                     //占空比递减状态
45                  if(duty_cycle == 16'd0)      //如果占空比已递减至0
46                      inc_dec_flag <= 1'b0;    //则占空比开始递增
47                  else                         //否则占空比以25为单位递减
48                      duty_cycle <= duty_cycle - 16'd25;
49            end
50          end
51      end
52end
53
54endmodule
       第21-28行是1KHz周期信号的计数器,用于产生1KHz的LED驱动信号。第31-52行的always块为占空比设定模块,每次计数完了一个周期,就根据递增/递减标志来对占空比计数值(duty_cycle)进行递增/递减25个计数值,这个递增或者递减的数值大小可以用来控制呼吸灯的呼吸频率。
       如果占空比计数值(duty_cycle)已经递增到了最大,则呼吸灯已经处于最亮的状态,接下来开始递减;反之,如果占空比计数至已经递减到了最小,即0,则呼吸灯处于熄灭的状态,接下来开始递增;如此循环往复,最终实现了流水灯的效果。
       在代码的第18行通过组合逻辑把当前的周期计数值和占空比计数值进行比较,来判断LED的输出电平。在一个周期内,如果当前的周期计数值大于等于占空比计数值,则LED输出高电平,即点亮;如果当前的周期计数值小于占空比计数值,则LED输出低电平,即熄灭。
1.5下载验证
       编译工程并生成比特流sof文件。将下载器一端连接电脑,另一端与开发板上的JTAG下载口连接,连接电源线,并打开开发板的电源开关,然后下载程序。
       程序下载完成后,可以看到新起点开发板上的LED灯由暗慢慢变亮,再由亮慢慢变暗,即呈现出“呼吸”的效果,如下图所示:

图 12.5.1 开发板实验现象
页: [1]
查看完整版本: 《新起点V2之FPGA开发指南》第十二章 呼吸灯实验