搜索
收藏本版 (64) |订阅

正点原子 今日: 0|主题: 1257|排名: 7 

作者 回复/查看 最后发表
【正点原子FPGA连载】 第七章OV5640摄像头Sobel边缘检测--摘自【正点原子】领航者ZYNQ之HLS 开发指南 attach_img 正点原子 2020-9-26 03444 正点原子 2020-9-26 12:34
【正点原子FPGA连载】 第六章OV5640摄像头灰度显示实验--摘自【正点原子】领航者ZYNQ之HLS 开发指南 attach_img 正点原子 2020-9-26 03125 正点原子 2020-9-26 11:42
原子有出VL53L1X模块吗? 看宣传好像可以测到4米。 GZZXB 2020-4-18 205928 jemmy 2020-9-26 11:20
【正点原子FPGA连载】第五章彩条显示实验--摘自【正点原子】领航者ZYNQ之HLS 开发指南 attach_img 正点原子 2020-9-25 03029 正点原子 2020-9-25 10:22
【正点原子FPGA连载】第四章呼吸灯实验--摘自【正点原子】领航者ZYNQ之HLS 开发指南 attach_img 正点原子 2020-9-25 02842 正点原子 2020-9-25 10:13
【正点原子FPGA连载】第三章按键控制LED实验--摘自【正点原子】领航者ZYNQ之HLS 开发指南 attach_img 正点原子 2020-9-25 02531 正点原子 2020-9-25 09:58
在不看或无法直接查看xilinx芯片丝印的情况下如何得到fpga芯片的详细型号呢? xtaens 2020-9-23 135813 wye11083 2020-9-24 17:32
【正点原子FPGA连载】 第二章LED闪烁实验--摘自【正点原子】领航者ZYNQ之HLS 开发指南 attach_img 正点原子 2020-9-24 02840 正点原子 2020-9-24 10:12
【正点原子FPGA连载】第一章HLS简介--摘自【正点原子】领航者ZYNQ之HLS 开发指南 attach_img 正点原子 2020-9-24 02811 正点原子 2020-9-24 10:01
【正点原子FPGA连载】第二十五章以太网ARP测试实验--摘自【正点原子】领航者 ZYNQ 之FPGA开发指南 attach_img 正点原子 2020-9-23 03616 正点原子 2020-9-23 10:08
【正点原子FPGA连载】第二十六章以太网UDP测试实验--摘自【正点原子】领航者 ZYNQ 之FPGA开发指南 attach_img 正点原子 2020-9-22 03827 正点原子 2020-9-22 10:41
【正点原子FPGA连载】第二十四章MDIO接口读写测试实验--摘自【正点原子】领航者 ZYNQ 之FPGA开发指南 attach_img 正点原子 2020-9-22 03557 正点原子 2020-9-22 10:26
【正点原子FPGA连载】第二十三章高速AD/DA实验--摘自【正点原子】领航者 ZYNQ 之FPGA开发指南 attach_img 正点原子 2020-9-22 03629 正点原子 2020-9-22 10:03
【久等了,MP157终于来了】正点原子STM32MP1开发板演示视频发布。多核异构,双A7+M4内核,性能强悍。 attach_img 正点原子 2020-9-8 108830 jermy_z 2020-9-22 10:00
【正点原子FPGA连载】第二十二章频率计实验--摘自【正点原子】领航者 ZYNQ 之FPGA开发指南 attach_img 正点原子 2020-9-21 02794 正点原子 2020-9-21 10:37
【正点原子FPGA连载】第二十一章RTC实时时钟LCD显示实验--摘自【正点原子】领航者 ZYNQ 之FPGA开发指南 attach_img 正点原子 2020-9-21 02717 正点原子 2020-9-21 10:18
【正点原子FPGA连载】第二十章EEPROM读写测试实验--摘自【正点原子】领航者 ZYNQ 之FPGA开发指南 attach_img 正点原子 2020-9-21 02930 正点原子 2020-9-21 10:00
【正点原子FPGA连载】第十八章HDMI彩条显示实验--摘自【正点原子】领航者 ZYNQ 之FPGA开发指南 attach_img 正点原子 2020-9-20 03698 正点原子 2020-9-20 10:54
【正点原子FPGA连载】第十七章RGB TFT-LCD简介--摘自【正点原子】领航者 ZYNQ 之FPGA开发指南 attach_img 正点原子 2020-9-20 02657 正点原子 2020-9-20 10:39
【正点原子FPGA连载】第十六章RGB TFT-LCD彩条显示实验--摘自【正点原子】领航者 ZYNQ 之FPGA开发指南 attach_img 正点原子 2020-9-19 02771 正点原子 2020-9-19 11:06
【正点原子FPGA连载】第十五章RS485串口通信实验--摘自【正点原子】领航者 ZYNQ 之FPGA开发指南 attach_img 正点原子 2020-9-19 02926 正点原子 2020-9-19 10:48
【正点原子FPGA连载】第十四章UART串口通信实验--摘自【正点原子】领航者 ZYNQ 之FPGA开发指南 attach_img 正点原子 2020-9-19 02449 正点原子 2020-9-19 10:38
【正点原子FPGA连载】 第十三章IP核之FIFO实验--摘自【正点原子】领航者 ZYNQ 之FPGA开发指南 attach_img 正点原子 2020-9-19 03080 正点原子 2020-9-19 10:24
【正点原子FPGA连载】第十二章IP核之RAM实验--摘自【正点原子】领航者 ZYNQ 之FPGA开发指南 attach_img 正点原子 2020-9-18 02982 正点原子 2020-9-18 10:46
【正点原子FPGA连载】第十一章IP核之MMCM/PLL实验--摘自【正点原子】领航者 ZYNQ 之FPGA开发指南 attach_img 正点原子 2020-9-18 02827 正点原子 2020-9-18 10:31
【正点原子FPGA连载】第九章触摸按键控制LED灯实验--摘自【正点原子】领航者 ZYNQ 之FPGA开发指南 attach_img 正点原子 2020-9-18 02296 正点原子 2020-9-18 10:14
【正点原子FPGA连载】第十章呼吸灯实验--摘自【正点原子】领航者 ZYNQ 之FPGA开发指南 attach_img 正点原子 2020-9-17 12780 xqn2012 2020-9-18 09:22
【正点原子FPGA连载】第八章按键控制蜂鸣器实验--摘自【正点原子】领航者 ZYNQ 之FPGA开发指南 attach_img 正点原子 2020-9-16 02826 正点原子 2020-9-16 15:02
【正点原子FPGA连载】第七章按键控制LED闪烁实验--摘自【正点原子】领航者 ZYNQ 之FPGA开发指南 attach_img 正点原子 2020-9-16 02356 正点原子 2020-9-16 14:57
【正点原子FPGA连载】第二十章另一种方式编译ZYNQ镜像--摘自【正点原子】领航者 ZYNQ 之linux驱动开发指南 attach_img 正点原子 2020-9-5 33846 正点原子 2020-9-16 12:55
【正点原子FPGA连载】第一章ZYNQ简介--摘自【正点原子】领航者 ZYNQ 之FPGA开发指南 attach_img 正点原子 2020-9-11 24085 正点原子 2020-9-16 12:05
【正点原子FPGA连载】第五章Verilog HDL语法--摘自【正点原子】领航者 ZYNQ 之FPGA开发指南 attach_img 正点原子 2020-9-16 02592 正点原子 2020-9-16 11:40
【正点原子FPGA连载】第六章LED灯闪烁实验--摘自【正点原子】领航者 ZYNQ 之FPGA开发指南 attach_img 正点原子 2020-9-16 02628 正点原子 2020-9-16 11:20
【开源】正点原子探索者STM32F407 UCOSIII教程+源码+移植方法 attach_img heatlevel agree alientek 2015-4-3 9326404 天下乌鸦一般黑 2020-9-15 11:46
【正点原子FPGA连载】第三章硬件资源详解--摘自【正点原子】领航者 ZYNQ 之FPGA开发指南 attach_img 正点原子 2020-9-14 08869 正点原子 2020-9-14 11:56
【正点原子FPGA连载】第二章实验平台简介--摘自【正点原子】领航者 ZYNQ 之FPGA开发指南 attach_img 正点原子 2020-9-11 02400 正点原子 2020-9-11 10:29
【正点原子FPGA连载】附录A2 ARM汇编基础--摘自【正点原子】领航者 ZYNQ 之linux驱动开发指南 attach_img 正点原子 2020-9-10 02458 正点原子 2020-9-10 15:31
【正点原子FPGA连载】附录A1 Coretx-A9 MPCore架构--摘自【正点原子】领航者 ZYNQ 之linux驱动开发指南 attach_img 正点原子 2020-9-10 02529 正点原子 2020-9-10 15:22
战舰stm32F103 USB虚拟串口实验提示"设备请求描述符失败"如何解决? attach_img 涵潇舒雅 2020-9-8 12714 易创科技 2020-9-10 13:31
【正点原子FPGA连载】第三十二章Linux内核定时器实验--摘自【正点原子】领航者 ZYNQ 之linux驱动开发指南 attach_img 正点原子 2020-9-9 03385 正点原子 2020-9-9 15:33
【正点原子FPGA连载】第三十一章Linux按键输入实验--摘自【正点原子】领航者 ZYNQ 之linux驱动开发指南 attach_img 正点原子 2020-9-9 02553 正点原子 2020-9-9 15:26
【正点原子FPGA连载】第三十章Linux并发与竞争实验--摘自【正点原子】领航者 ZYNQ 之linux驱动开发指南 attach_img 正点原子 2020-9-9 02278 正点原子 2020-9-9 15:19
【正点原子FPGA连载】第二十九章Linux并发与竞争--摘自【正点原子】领航者 ZYNQ 之linux驱动开发指南 attach_img 正点原子 2020-9-8 02520 正点原子 2020-9-8 11:36
【正点原子FPGA连载】第二十八章Linux蜂鸣器驱动实验--摘自【正点原子】领航者 ZYNQ 之linux驱动开发指南 attach_img 正点原子 2020-9-7 02839 正点原子 2020-9-7 12:06
【正点原子FPGA连载】第二十七章gpio子系统下的LED驱动实验--摘自【正点原子】领航者 ZYNQ 之linux驱动开发指南 attach_img 正点原子 2020-9-7 02612 正点原子 2020-9-7 11:59
【正点原子FPGA连载】第二十六章gpio子系统简介--摘自【正点原子】领航者 ZYNQ 之linux驱动开发指南 attach_img 正点原子 2020-9-7 02591 正点原子 2020-9-7 11:51
【正点原子FPGA连载】第二十五章设备树下的LED驱动实验--摘自【正点原子】领航者 ZYNQ 之linux驱动开发指南 attach_img 正点原子 2020-9-7 02608 正点原子 2020-9-7 11:44
【正点原子FPGA连载】第二十四章Linux设备树--摘自【正点原子】领航者 ZYNQ 之linux驱动开发指南 attach_img 正点原子 2020-9-7 02960 正点原子 2020-9-7 11:35
【正点原子FPGA连载】第二十三章新字符设备驱动实验--摘自【正点原子】领航者 ZYNQ 之linux驱动开发指南 attach_img 正点原子 2020-9-7 12447 yyts 2020-9-7 11:23
【正点原子FPGA连载】第二十二章嵌入式Linux LED驱动开发实验--摘自【正点原子】领航者 ZYNQ 之linux驱动开发指南 attach_img 正点原子 2020-9-7 03283 正点原子 2020-9-7 11:05
【正点原子FPGA连载】第二十一章字符设备驱动开发--摘自【正点原子】领航者 ZYNQ 之linux驱动开发指南 attach_img 正点原子 2020-9-4 02512 正点原子 2020-9-4 15:29
【正点原子FPGA连载】第十九章根文件系统构建--摘自【正点原子】领航者 ZYNQ 之linux驱动开发指南 attach_img 正点原子 2020-9-3 03108 正点原子 2020-9-3 18:37
【正点原子FPGA连载】第十八章Linux内核移植--摘自【正点原子】领航者 ZYNQ 之linux驱动开发指南 attach_img 正点原子 2020-9-3 03278 正点原子 2020-9-3 18:26
【正点原子FPGA连载】 第十七章Linux内核启动流程--摘自【正点原子】领航者 ZYNQ 之linux驱动开发指南 attach_img 正点原子 2020-9-1 12433 gongxd 2020-9-1 20:10
【正点原子FPGA连载】第十六章Linux内核顶层Makefile详解--摘自【正点原子】领航者 ZYNQ 之linux驱动开发指南 attach_img 正点原子 2020-9-1 02807 正点原子 2020-9-1 10:51
【正点原子FPGA连载】第十五章U-Boot图形化配置及其原理--摘自【正点原子】领航者 ZYNQ 之linux驱动开发指南 attach_img 正点原子 2020-9-1 02735 正点原子 2020-9-1 10:41
【正点原子FPGA连载】第十四章U-Boot移植--摘自【正点原子】领航者 ZYNQ 之linux驱动开发指南 attach_img 正点原子 2020-9-1 02876 正点原子 2020-9-1 10:33
求8种分度热电偶的温度及电势的换算公式。 widesoft2 2020-9-1 01984 widesoft2 2020-9-1 09:13
【正点原子FPGA连载】第十三章U-Boot启动流程详解--摘自【正点原子】领航者 ZYNQ 之linux驱动开发指南 attach_img 正点原子 2020-8-31 14709 hcambridge 2020-8-31 17:35
【正点原子FPGA连载】第十二章U-Boot顶层Makefile详解--摘自【正点原子】领航者 ZYNQ 之linux驱动开发指南 attach_img 正点原子 2020-8-29 13314 tuy0326 2020-8-30 00:50
【正点原子FPGA连载】第十章Linux图形界面的使用--摘自【正点原子】领航者 ZYNQ 之linux驱动开发指南 attach_img 正点原子 2020-8-29 02460 正点原子 2020-8-29 10:28
【正点原子FPGA连载】第九章Linux显示设备的使用--摘自【正点原子】领航者 ZYNQ 之linux驱动开发指南 attach_img 正点原子 2020-8-28 03454 正点原子 2020-8-28 17:04
【正点原子FPGA连载】第八章Linux基础外设的使用--摘自【正点原子】领航者 ZYNQ 之linux驱动开发指南 attach_img 正点原子 2020-8-28 02367 正点原子 2020-8-28 15:17
【正点原子FPGA连载】第七章使用XSDK开发Linux应用--摘自【正点原子】领航者 ZYNQ 之linux驱动开发指南 attach_img 正点原子 2020-8-28 02649 正点原子 2020-8-28 11:57
【正点原子FPGA连载】第六章自定义IP核-呼吸灯实验--摘自【正点原子】领航者 ZYNQ 之linux驱动开发指南 attach_img 正点原子 2020-8-27 02893 正点原子 2020-8-27 16:19
【正点原子FPGA连载】第五章Petalinux的安装--摘自【正点原子】领航者 ZYNQ 之linux驱动开发指南 attach_img 正点原子 2020-8-26 03079 正点原子 2020-8-26 16:39
【正点原子FPGA连载】 第三章Linux C编程入门--摘自【正点原子】领航者 ZYNQ 之linux驱动开发指南 attach_img 正点原子 2020-8-26 12472 lonny_chen 2020-8-26 13:37
【正点原子FPGA连载】第二十四章OV5640摄像头HDMI显示--摘自【正点原子】领航者 ZYNQ 之嵌入式开发指南 attach_img 正点原子 2020-8-13 23337 chenkai_ck_ 2020-8-25 20:51
【正点原子FPGA连载】第一章Ubuntu系统安装--摘自【正点原子】领航者 ZYNQ 之linux驱动开发指南 attach_img 正点原子 2020-8-25 02699 正点原子 2020-8-25 14:57
原子的STM32H750的核心板为什么加了 那么多FLASH 还有EEPROM ackyee 2020-8-13 105006 armok. 2020-8-23 12:18
【正点原子FPGA连载】第二十五章OV5640摄像头灰度图显示实验--摘自【正点原子】领航者 ZYNQ 之嵌入式开发指南 attach_img 正点原子 2020-8-13 12631 armok. 2020-8-23 12:13
【正点原子FPGA连载】第二十六章基于OV5640的二值化实验--摘自【正点原子】领航者 ZYNQ 之嵌入式开发指南 attach_img 正点原子 2020-8-14 12374 armok. 2020-8-23 05:43
【正点原子FPGA连载】第二十七章基于OV5640的中值滤波实验--摘自【正点原子】领航者 ZYNQ 之嵌入式开发指南 attach_img 正点原子 2020-8-14 12726 armok. 2020-8-23 05:43
用THC63LVD1027芯片进行LVDS信号一转二出现雪花是什么原因 wusuowei1985 2020-8-21 02133 wusuowei1985 2020-8-21 21:42
【正点原子FPGA连载】第二十三章OV5640摄像头LCD显示--摘自【正点原子】领航者 ZYNQ 之嵌入式开发指南 attach_img 正点原子 2020-8-12 14579 armok. 2020-8-21 13:39
【正点原子FPGA连载】第二十二章OV7725摄像头HDMI显示--摘自【正点原子】领航者 ZYNQ 之嵌入式开发指南 attach_img 正点原子 2020-8-12 12937 armok. 2020-8-21 13:38
【正点原子FPGA连载】第三十七章基于UDP协议的远程更新QSPI Flash实验--摘自【正点原子】领航者 ZYNQ 之嵌入式开发指南 attach_img 正点原子 2020-8-19 03056 正点原子 2020-8-19 17:11
【正点原子FPGA连载】第三十六章基于TCP协议的远程更新QSPI Flash实验--摘自【正点原子】领航者 ZYNQ 之嵌入式开发指南 attach_img 正点原子 2020-8-19 03023 正点原子 2020-8-19 17:05
【正点原子FPGA连载】第三十五章基于lwip的tftp server实验--摘自【正点原子】领航者 ZYNQ 之嵌入式开发指南 attach_img 正点原子 2020-8-19 02912 正点原子 2020-8-19 16:57
正点的你家V3板子订得多还比订得少贵吗? dulala 2020-8-17 224250 jermy_z 2020-8-19 14:13
【正点原子FPGA连载】第三十四章基于lwip的TCP服务器性能测试实验--摘自【正点原子】领航者 ZYNQ 之嵌入式开发指南 attach_img 正点原子 2020-8-18 03196 正点原子 2020-8-18 11:48
【正点原子FPGA连载】第三十三章基于lwip的echo server实验--摘自【正点原子】领航者 ZYNQ 之嵌入式开发指南 attach_img 正点原子 2020-8-18 05485 正点原子 2020-8-18 11:19
【正点原子FPGA连载】第三十二章双目OV5640摄像头HDMI显示实验--摘自【正点原子】领航者 ZYNQ 之嵌入式开发指南 attach_img 正点原子 2020-8-17 02648 正点原子 2020-8-17 11:10
【正点原子FPGA连载】第三十一章双目OV5640摄像头LCD显示实验 --摘自【正点原子】领航者 ZYNQ 之嵌入式开发指南 attach_img 正点原子 2020-8-17 03312 正点原子 2020-8-17 10:53
十万火急,请问现在怎么能联系到正点原子淘宝购买脱机工具 jufr12315 2020-8-14 304724 jufr12315 2020-8-15 13:27
【正点原子FPGA连载】第一章Hello World实验--摘自【正点原子】领航者 ZYNQ 之嵌入式开发指南 attach_img 正点原子 2020-7-15 23588 mangolu 2020-8-15 13:06
【正点原子FPGA连载】第三十章TFT-LCD画板实验--摘自【正点原子】领航者 ZYNQ 之嵌入式开发指南 attach_img 正点原子 2020-8-15 03023 正点原子 2020-8-15 11:52
【正点原子FPGA连载】第二十九章OV7725照相机实验--摘自【正点原子】领航者 ZYNQ 之嵌入式开发指南 attach_img 正点原子 2020-8-15 02661 正点原子 2020-8-15 11:39
【正点原子FPGA连载】第二十八章V7725摄像头Sobel边缘检测--摘自【正点原子】领航者 ZYNQ 之嵌入式开发指南 attach_img 正点原子 2020-8-15 02702 正点原子 2020-8-15 11:29
【快来报名啦】明晚八点,正点原子2020年中新品发布会,原子哥将携带一大波新品与大家见面。分享有礼,直播抽奖,万元大礼疯狂送! attach_img 正点原子 2020-8-10 194702 mzyes 2020-8-11 18:11
【正点原子FPGA连载】第二十一章OV7725摄像头LCD显示--摘自【正点原子】领航者 ZYNQ 之嵌入式开发指南 attach_img 正点原子 2020-8-8 03285 正点原子 2020-8-8 16:22
【正点原子FPGA连载】第二十章SD卡读BMP图片HDMI显示实验--摘自【正点原子】领航者 ZYNQ 之嵌入式开发指南 attach_img 正点原子 2020-8-8 03150 正点原子 2020-8-8 11:38
【正点原子FPGA连载】第十九章SD卡读BMP图片LCD显示实验--摘自【正点原子】领航者 ZYNQ 之嵌入式开发指南 attach_img 正点原子 2020-8-5 03282 正点原子 2020-8-5 11:33
【正点原子FPGA连载】第十八章PS通过VDMA驱动LCD显示实验--摘自【正点原子】领航者 ZYNQ 之嵌入式开发指南 attach_img 正点原子 2020-8-4 04705 正点原子 2020-8-4 11:44
【正点原子FPGA连载】 第十二章SD卡读写TXT文本实验--摘自【正点原子】领航者 ZYNQ 之嵌入式开发指南 attach_img 正点原子 2020-7-28 17697 armok. 2020-8-3 20:12
【正点原子FPGA连载】第十一章QSPI Flash读写测试实验--摘自【正点原子】领航者 ZYNQ 之嵌入式开发指南 attach_img 正点原子 2020-7-27 16742 armok. 2020-8-3 19:10
【正点原子FPGA连载】第十七章IP封装与接口定义实验--摘自【正点原子】领航者 ZYNQ 之嵌入式开发指南 attach_img 正点原子 2020-8-3 02657 正点原子 2020-8-3 15:37
【正点原子FPGA连载】第十六章AXI DMA环路测试--摘自【正点原子】领航者 ZYNQ 之嵌入式开发指南 attach_img 正点原子 2020-8-1 04800 正点原子 2020-8-1 10:31
【正点原子FPGA连载】第十章PS XADC接口实验--摘自【正点原子】领航者 ZYNQ 之嵌入式开发指南 attach_img 正点原子 2020-7-25 14454 armok. 2020-8-1 09:41
【正点原子FPGA连载】第九章定时器中断实验--摘自【正点原子】领航者 ZYNQ 之嵌入式开发指南 attach_img 正点原子 2020-7-25 13440 armok. 2020-8-1 09:41
下一页 »

快速发帖

友情提示: 您当前发帖的板块是:正点原子。反政府言论将被立即封锁ID 。发错分论坛、标题不合格、重复发帖、大量使用大字体和彩色字,都是违规。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。点此查看更多发帖规则
还可输入 80 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-4-19 22:36

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

返回顶部 返回版块