搜索
收藏本版 (107) |订阅

FPGA 今日: 0|主题: 10359|排名: 16 

作者 回复/查看 最后发表
Libero9.0简易仿真流程 attachment quanqiuy 2013-4-11 52154 bangbangji 2017-12-28 19:26
新手请教一个PWM的程序。 bestwyysx 2009-12-8 113640 weidadejang 2017-12-27 13:42
Altera FPGA CPLD设计基础篇 (高清版),欢迎DOWNLOAD! attachment orange-208 2012-9-16 184410 贪吃的蚂蚁 2017-12-22 09:39
问大家,spartan 6没有sdram的ip核吗 boy1986 2017-12-16 22145 wye11083 2017-12-16 13:32
基于 MAP 的小波域去噪算法的推导 attach_img myshworks 2017-12-14 21702 myshworks 2017-12-14 13:17
FPGA引脚被烧的疑问 guo407214944 2017-12-8 72165 wiser803 2017-12-13 14:15
图中红线所示lvds说法是否正确? attach_img atom100 2017-12-11 72286 ziruo2002ab 2017-12-12 16:01
管脚可以设置成开漏或上拉方式吗 attach_img guo407214944 2017-12-11 01489 guo407214944 2017-12-11 11:47
求:基于PLB总线的用户IP核接口技术研究 xuysh 2017-12-11 01419 xuysh 2017-12-11 09:02
NMOS的Vgs未达到开启电压,NMOS能导通? attach_img wjfblack 2017-4-21 63641 yinlvym 2017-12-7 23:43
国外人写的一本关于FPGAD的书,基于verilog的,个人觉得不... 新人帖 attachment heatlevel  ...2 mayo20102012 2013-4-8 19619150 小轩窗 2017-12-7 12:35
UART通信过程中,奇偶校验的理解 myshworks 2017-12-1 74956 myshworks 2017-12-6 19:19
分享:常用的FPGA开发工具软件若干(网盘) heatlevel ShyFox 2017-4-15 215392 小轩窗 2017-12-6 13:15
求教 STM32 FPGA 通过FSMC异步通信 attach_img littleGnss 2013-6-10 158371 liyanfeng 2017-12-4 16:54
求micrium账号 新人帖 attachment xOpenLee 2017-3-9 63830 2201 2017-12-4 11:33
iBoard TFT驱动姊妹篇:iHMI43 TFT驱动verilog版 attach_img flyfox8 2014-8-25 475515 thyewfty 2017-12-2 20:48
UART通信过程的理解 attach_img myshworks 2017-12-1 152543 myshworks 2017-12-1 19:44
基于EP2C8Q208C8N的verilog hdl 语言的全套测试程序 cixizq 2011-8-13 234895 lyl520719 2017-12-1 15:34
基于CPLD的串并转换和高速USB通信设计 fourir 2011-8-16 63084 天行者 2017-11-30 14:56
输出的时钟波形为什么这么差呢 attach_img myshworks 2017-11-25 162763 myshworks 2017-11-30 13:37
怎么从01010101这样的帧头恢复时钟,来采集后续帧数据呢? DanielDeng 2012-2-24 143149 jjl3 2017-11-29 21:58
求上海地区FPGA工程师救急指导增加FFT功能 attach_img liuerbin 2017-8-19 112652 幸福的鱼 2017-11-28 20:31
xilinx BRAM实现状态机是啥意思 ? attach_img atom100 2017-11-23 32060 xivisi 2017-11-24 15:37
请教大家,xilinx中的 是LUT 如何实现 ROM的呢 ? attach_img atom100 2017-11-22 32098 zkf0100007 2017-11-23 11:03
求教DspBuilder、Matlab/simulink、QuartusII、Modelsim互相兼容问题 新人帖 diandianer 2017-11-22 21652 jjl3 2017-11-23 07:56
DLL的时钟锁定 ? attach_img atom100 2017-11-23 01242 atom100 2017-11-23 02:57
FPGA作为从机给STM32发送数据,仿真可以通过,实际却不行。 attach_img thy110 2017-11-20 82960 thy110 2017-11-21 09:10
分享《深入浅出玩转FPGA视频学习课程特权同学35讲全[wmv] attach_img cyberspice 2015-12-3 173806 梦天奇幻 2017-11-20 15:32
《基于QuartusII的数字系统VerilogHDL设计实例详解》 attachment heatlevel eva015401 2014-3-3 689977 梦天奇幻 2017-11-16 09:11
收个xilinx fpga开发板或者成品板 huangzzjy22 2017-11-14 01457 huangzzjy22 2017-11-14 14:33
ad7606采集回来的数值偏高,怎么回事,求助 attach_img thy110 2017-11-4 93265 zqf441775525 2017-11-12 22:29
Cyclone IV AS能下载不能运行 ,JTAG是可以下载也可以运行 attach_img thy110 2017-11-2 122951 pldjn 2017-11-11 23:35
【共享】两块开发板的资料verilog(红色飓风3s700AN,YCL FPGA+US... attachment chadusb 2012-8-28 62968 gyj82117 2017-11-8 21:52
夏宇闻教授的那本书适合入门verilog,有些看不懂 bias 2016-9-6 215274 lyl520719 2017-11-8 06:34
上几本fpga和verilog方面的书籍 weixintec 2010-9-27 5811220 lyl520719 2017-11-8 06:04
请教 处理器、存储器的性能与集成度的关系 MetalSeed 2017-11-7 01478 MetalSeed 2017-11-7 16:08
【芯天地FPGA】原创资料开源,温度传感器,红外,PS2鼠标.... attachment heatlevel  ...2 芯天地 2014-5-31 11113618 wujinliang 2017-11-7 12:41
CY7C68013 + FPGA 调试中碰到的怪问题. 新人帖 attach_img beck75 2012-8-29 205464 xycfwrj 2017-11-7 12:30
那位大神知道为什么cyclone v到现在还没推起来 YFM 2015-4-6 336184 hopeqiu 2017-11-7 11:50
STM32 XILINIX(XC6SLX45-2CSG324C) xuysh 2017-7-10 133182 richards 2017-11-6 16:45
如何将图片转化为mif初始化文件 cj501813910 2011-7-19 155246 lyl520719 2017-11-3 11:38
ADC0809 FPGA程序 自己写的,新手拿去用 attachment zhang849920 2012-10-3 52767 hkjabcd 2017-11-2 14:26
cyclone IV的fpga默认上电所有的IO是高阻态吗 attach_img ababvic 2017-10-23 164160 passage110 2017-10-25 16:23
娱乐贴: MaxCoin币 算法在 ex4sgx530 FPGA板上的实现 (FPGA挖矿) attachment hxl_led 2017-7-24 195468 bulejeans 2017-10-25 10:02
发一个我做的CPLD+SRAM驱动4.3寸TFT控制器 attachment heatlevel  ...2 jianfengxixi 2013-8-30 14421644 mimifa 2017-10-21 19:35
外部数据接口同步时钟输入FPGA需要过PLL么? jianfengxixi 2017-9-24 52445 最笨的企鹅 2017-10-18 07:36
好用的TFT LCD 控制器源代码(altera_avalon_lcd_controller) UCGUI ... attach_img heatlevel hxl_led 2017-8-4 143954 kupier 2017-10-16 22:29
有如下两段Verilog代码,哪个好点? zxq6 2017-9-14 203668 yf869778412 2017-10-16 15:51
原创:FPGA 一步一步轻松入门(有网友需求的SDRAM IP core ,SPI,Uart,VGA时序,SD卡读取 全 精华 attach_img digest heatlevel  ...23456..8 hxl_led 2011-4-14 722126021 ggggidtf520 2017-10-10 23:43
出一FPGA 开发板, FPGA型号是SPARIAN XC6SLX150 高速AD是ADC0... tangwubing1988 2017-5-16 92701 wujinliang 2017-10-10 15:44
语句:“assign E=(k?(n900==100):(n900==101))?1:0;”对不对? liudingding 2017-10-9 21707 zaldy30 2017-10-9 17:22
这两段程序问题在哪里?应该如何修改? attach_img liudingding 2017-10-6 21555 chenchaoting 2017-10-6 10:23
请教DE1-SOC和黑金FPGA的性价比和FPGA的选购指南 chsry 2014-6-11 235075 sblpp 2017-10-4 20:24
谁能帮忙分析一下这块移动通信基带信号处理板子的硬件... attach_img dragonlands 2017-9-21 172811 huangqi412 2017-9-27 18:02
请教一下大家xilinx中如何将一个1-4MHZ的频率,倍频4倍 attach_img opiviqo 2017-9-22 224162 opiviqo 2017-9-24 13:19
分享一个STM32的FSMC读取FPGA的实现方法 heatlevel xlwq 2013-1-26 2110077 gzhua20088ssj 2017-9-23 13:38
收个xilinx fpga开发板 vjcmain 2017-9-22 01424 vjcmain 2017-9-22 09:32
FPGA下载软件求助 guo407214944 2017-9-21 21858 guo407214944 2017-9-21 13:50
求FPGA,ns级脉冲检测方案 dream215 2017-3-8 407465 lvyi913 2017-9-20 22:20
投票 咱们这里搞FPGA的好冷清啊。做个调查,大家都用FPGA干什么 attachment heatlevel wye11083 2017-7-4 8513594 jxyctwt 2017-9-19 21:52
vivado 2017.1好像编译速度比2015.4慢不少啊 zxq6 2017-9-19 43242 zxq6 2017-9-19 12:50
cy7c68013a固件程序与FPGA程序的烧写顺序 yuloong 2016-1-19 22212 461675770@qq.co 2017-9-17 19:50
刚收的FPGA板,舰艇升级到航母 attach_img sme 2017-9-11 505196 nibia 2017-9-14 08:49
和niosii内核进行数据交互,哪种方法最好? bolizhicheng204 2017-8-14 102092 NJ8888 2017-9-10 21:35
set_clock_grops 何时用 included_generated_clock ? atom100 2017-9-9 01677 atom100 2017-9-9 20:17
如何将actel proasic3 程序读出来 kenson 2017-9-7 02023 kenson 2017-9-7 15:02
求 红色飓风II RCII-CY1C 光盘资料 attachment hustwjg 2017-9-5 21812 hustwjg 2017-9-6 10:18
Altera MAX10系列FPGA的价格及开发难度? simplorer 2016-1-22 396477 kenson 2017-9-5 19:47
verilog 里if else 和 a = b ?e1 :e2选择语句有何区别 ? atom100 2017-8-19 82446 yangff 2017-9-4 20:50
现在大家都不用CPLD了?EPM240要20多了? john78 2017-8-24 266177 yangff 2017-9-4 20:46
[请教] CPLD做IO扩展,复用的可行性,如图 attach_img yemingxp2 2017-8-31 133744 iqxt88 2017-8-31 22:19
如何学习FPGA(经典转载,大师经验谈) 新人帖 attachment heatlevel sky_prince 2013-4-19 6218701 JasonCry 2017-8-28 11:16
求教FPGA中高速率数据如何边界或者中间对齐于输出时钟 advantech 2017-8-17 142788 advantech 2017-8-25 17:07
true dual port ram两边同时写,如何处理 的? atom100 2017-7-16 62357 dcl_yufeimen 2017-8-25 08:30
xilinx 发布帖子 总出这个 ,啥意思,谁知道啊 ? attach_img atom100 2017-8-23 42195 shawn_bu 2017-8-23 09:02
在哪里能找到Avalon-mm 总线突发传输的教程? bolizhicheng204 2017-8-22 11575 YFM 2017-8-22 23:05
秀一下新做的Xilinx FPGA开发板 Spartan6 XC6LX9 核心板 attach_img 409926778 2013-4-9 2612349 xuysh 2017-8-21 22:49
alwasys@(clk)下If else 是一个时钟完成的吧 ? atom100 2017-8-19 31348 lcw_swust 2017-8-19 13:45
求武汉的FPGA高手指导我altera时序约束。 advantech 2017-8-17 01505 advantech 2017-8-17 15:18
求助NIOS内核与Verilog之间通过FIFO进行数据交互的例程 bolizhicheng204 2017-8-16 42147 zxq6 2017-8-17 13:21
请问,倍频怎么不起作用? attach_img wgui 2017-7-23 31577 wgui 2017-8-16 22:37
发布一个CPLD驱动4.3寸TFT的代码 新人帖 attachment luheding 2014-11-20 344940 unnormal 2017-8-16 12:06
看看这段verilog程序应该如何修改 liudingding 2017-8-15 31485 liudingding 2017-8-15 12:57
EPM240需要频繁焊一遍引脚,为什么? liudingding 2017-8-12 102417 YFM 2017-8-13 17:35
调查一下Nios还有多少人在用? chun2495 2017-7-24 314433 chun2495 2017-8-12 21:40
modelsim仿真中的tc脚本,do文件,bat文件功能? atom100 2017-6-27 62531 yf869778412 2017-8-10 13:48
always@(posedge clk )中的多个if else 语句的执行顺序? atom100 2017-7-16 94363 yf869778412 2017-8-10 13:34
Multi-Camera Platform for Panoramic Real-Time HDR Video Construction and Rend... liyang53719 2017-8-7 41375 flyaudio 2017-8-10 11:37
usb2.0通信咨询 lanzhe1991 2017-8-3 92062 hyper320 2017-8-7 02:34
xilinx SDK debug仿真出错 attach_img xuysh 2017-8-6 11299 xuysh 2017-8-6 22:46
请教:大家都用什么工具阅读 verilog 代码 LVmcu 2017-2-8 207901 prow 2017-8-3 08:06
谁给我编写这段verilog代码我出一百元饭钱 attach_img liudingding 2017-8-1 313480 liudingding 2017-8-2 12:06
大家水做个哈希函数啊? atom100 2016-2-16 132601 rwdxty 2017-7-31 17:30
谁指出附AHDL语言程序问题我出100块钱的饭钱。 liudingding 2017-7-29 52212 liudingding 2017-7-31 09:48
我出100元饭钱找人给我看一下我的AHDL语言程序 liudingding 2017-7-29 31710 huangqi412 2017-7-30 09:04
请帮忙看看下面另外几个verilog HDL程序问题如何修改 liudingding 2017-7-28 41424 RAMILE 2017-7-29 13:47
请看一下这段问题verilong HDL语言应怎么改 liudingding 2017-7-27 31488 liudingding 2017-7-27 16:12
RAISR: Rapid and Accurate Image liyang53719 2017-7-26 01139 liyang53719 2017-7-26 11:13
EPM240输出和设定逻辑不一致,为什么? liudingding 2017-7-23 71558 as9901 2017-7-24 17:13
请教VIVADO 如何将32位数据拆分送两个16位乘法器 attach_img gongcsf 2017-7-19 63355 gongcsf 2017-7-21 17:17
下一页 »

快速发帖

友情提示: 您当前发帖的板块是:FPGA。反政府言论将被立即封锁ID 。发错分论坛、标题不合格、重复发帖、大量使用大字体和彩色字,都是违规。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。点此查看更多发帖规则
还可输入 80 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-3-28 17:39

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

返回顶部 返回版块