搜索
bottom↓
回复: 560

秀一下俺做的一款XILINX FPGA电子竞赛套件

  [复制链接]

出0入0汤圆

发表于 2010-1-3 09:52:22 | 显示全部楼层 |阅读模式
从05年就计划研制的这款XILINX FPGA电子竞赛套件今天终于面世了!
核心板采用Xilinx公司的Spartan II系列FPGA,门数容量为20万门。技术指标高,双通道40MHz高速12位ADC,双通道125MHz高速14位DAC完全能满足电子设计竞赛项目的指标要求。
既可作为培训和学习工具,也可直接用于参赛,满足电子竞赛最小系统板的竞赛规则。
目前主要实现信号类竞赛题目的数字功能,如:简易数字频率计、数字有效值电压表、移相信号发生器、数字相位测量仪、简易逻辑分析仪、正弦信号发生器、数字存储示波器、程控滤波器等。以后还会陆续增加其它功能模块和竞赛实例。
以下是部分实验结果:

简易数字频率计(1997年B题) (原文件名:简易数字频率计(1997年B题).jpg)


数字有效值电压表(1999年B题) (原文件名:数字有效值电压表(1999年B题).jpg)


移相信号发生器(2003年C题) (原文件名:移相信号发生器(2003年C题).jpg)


数字相位测量仪(2003年C题) (原文件名:数字相位测量仪(2003年C题).jpg)


简易逻辑分析仪(2003年D题) (原文件名:简易逻辑分析仪(2003年D题).jpg)


正弦信号发生器(2005年A题) (原文件名:正弦信号发生器(2005年A题).jpg)


数字存储示波器(2007年C题) (原文件名:数字存储示波器(2007年C题).jpg)


程控滤波器(2007年D题) (原文件名:程控滤波器(2007年D题).jpg)

阿莫论坛20周年了!感谢大家的支持与爱护!!

一只鸟敢站在脆弱的枝条上歇脚,它依仗的不是枝条不会断,而是自己有翅膀,会飞。

出0入0汤圆

发表于 2010-1-3 11:14:29 | 显示全部楼层
大哥…… Spartan II在ISE 11里边已经不支持了;Xilinx网站上,已经是Mature Products了。

别的看起来做的不错,换个FPGA吧。
XC2S200现在已经不好拿出来Show了。S3E/S3A应该更好用一些。

出0入0汤圆

发表于 2010-1-3 11:49:02 | 显示全部楼层
没sch么?

出0入0汤圆

 楼主| 发表于 2010-1-3 18:40:49 | 显示全部楼层
谢谢dr2001的建议,我们正考虑采用新的XLINX S3E系列和ALTERA系列FPGA。
当时选择XC2S200是因为SPARTAN II系列FPGA的I/O口兼容3.3V/5.0V电平,便于和一些5.0V电平电路直接连接。这款XILINX FPGA电子竞赛套件的扩展性是很好的,可以直接用来参加电子竞赛。

出0入0汤圆

发表于 2010-1-4 12:32:33 | 显示全部楼层
那些SMA的射频插座接的什么信号?这种插座多少钱一个?

出0入0汤圆

发表于 2010-1-4 15:39:04 | 显示全部楼层
5毛一个。

出0入0汤圆

 楼主| 发表于 2010-1-5 21:25:36 | 显示全部楼层
双通道AD板上SMA插座接的是信号源送过来的高频信号,信号频率范围:0—20MHz,电压范围:Vpp<=4V。
双通道DA板上SMA插座输出高频信号给示波器,信号频率范围:0—10MHz,电压范围:Vpp<=5V。

目前信号类电子竞赛题目的高频指标越来越高,普通的AD、DA(如adc0809,dac0832)芯片难以满足指标。这款套件的高频指标很好,可以轻松应对此类竞赛题目。

出0入0汤圆

 楼主| 发表于 2010-2-18 23:10:53 | 显示全部楼层
正考虑将这款FPGA电子竞赛套件做成一个开源的项目,不知大家是否感兴趣?需要的顶下,我将陆续把相关资料整理出来。

出0入0汤圆

发表于 2010-2-25 13:55:27 | 显示全部楼层
这些都很有意思,感兴趣!
麻烦楼主传点资料,让我们学习一下

出0入0汤圆

发表于 2010-4-14 21:29:10 | 显示全部楼层
上原理图 加PCB

出0入0汤圆

发表于 2010-4-15 12:06:41 | 显示全部楼层
没有altera的电子竞赛套件?

出0入0汤圆

发表于 2010-4-15 12:27:18 | 显示全部楼层
mark

出0入0汤圆

 楼主| 发表于 2010-4-17 19:03:56 | 显示全部楼层
回【13楼】 xyx2009xyx :目前FPGA核心模块板只有Xilinx的Spartan2和Spartan3核心模块板,正准备开发Altera的FPGA核心模块板。

出0入0汤圆

 楼主| 发表于 2010-4-17 19:08:48 | 显示全部楼层
电子竞赛模块—FPGA核心模块板(Spartan2):Spartan2核心模块板采用的是Xilinx公司Spartan2系列FPGA芯片(XC2S200PQ208),采用PQ208封装,容量为20万门。其配置芯片为Xilinx公司的专用配置PROM芯片XCF02S,以实现加电自动配置。核心板采用5V输入,板上有两块LM317电源芯片分别输出3.3V和2.5V电压。板上采用40MHz有源晶振(可通过晶振插座更换不同频率的晶振),满足高速设计要求。核心板140只I/O口全部引出,通过标准IDC插座插在简易扩展底板上,简易扩展底板最大限度的引出了核心板上的I/O口,与功能扩展板相连,用户可以根据自己的需求选配不同的扩展模块板。


电子竞赛模块―FPGA核心模块板(Spartan2) (原文件名:电子竞赛模块―FPGA最小系统板(Spartan2核心模块板).jpg)

出0入0汤圆

 楼主| 发表于 2010-4-17 19:10:53 | 显示全部楼层
电子竞赛模块—FPGA核心模块板(Spartan3):Spartan3核心模块板采用的是Xilinx公司Spartan3系列FPGA芯片(XC3S400PQ208),采用PQ208封装,容量为40万门,其配置芯片为Xilinx公司的专用配置PROM芯片XCF02S,以实现加电自动配置。核心板采用5V输入,板上有三块AS1117电源芯片分别输出3.3V、2.5V、1.2V电压。板上采用40MHz有源晶振(可通过晶振插座更换不同频率的晶振),满足高速设计要求。核心板140只I/O口全部引出,通过标准IDC插座插在简易扩展底板上,简易扩展底板最大限度的引出了核心板上的I/O口,与功能扩展板相连,用户可以根据自己的需求选配不同的扩展模块板。现有绿色和蓝色两种颜色可选。


电子竞赛模块―FPGA核心模块板(Spartan3) (原文件名:电子竞赛模块―FPGA最小系统板(Spartan3核心模块板).jpg)

出0入0汤圆

发表于 2010-4-17 19:17:26 | 显示全部楼层
这广告贴吧?没有资料的话将移走

出0入0汤圆

发表于 2010-4-17 22:37:30 | 显示全部楼层
哈哈  看起来很爽啊

出0入0汤圆

 楼主| 发表于 2010-4-18 10:23:08 | 显示全部楼层
不知有多少朋友对这个项目感兴趣,我将陆续将相关资料发上来。

先发1个电子竞赛模块—FPGA核心模块板(Spartan2)的原理图和布局图上来吧。

电子竞赛模块―FPGA核心模块板(Spartan2)原理图ourdev_547187.pdf(文件大小:51K) (原文件名:FPGA核心模块板(Spartan2)原理图.pdf)
电子竞赛模块―FPGA核心模块板(Spartan2)布局图ourdev_547188.pdf(文件大小:35K) (原文件名:FPGA核心模块板(Spartan2)布局图.pdf)

出0入0汤圆

发表于 2010-4-18 10:28:41 | 显示全部楼层
强烈支持!!

出0入0汤圆

 楼主| 发表于 2010-4-27 22:07:52 | 显示全部楼层
秀一下俺做的基于上面FPGA核心模块板(Spartan2)的USB2.0采集卡,具有数字存储示波器的功能。


基于FPGA核心模块板(Spartan2)的USB2.0采集卡 (原文件名:USB2.0采集卡.jpg)


双通道数据采集器上位机程序界面 (原文件名:采集器界面.jpg)

出0入0汤圆

发表于 2010-4-27 22:53:57 | 显示全部楼层
图挺多的,但...,lz继续陆续吧!

出0入0汤圆

 楼主| 发表于 2010-4-29 21:44:05 | 显示全部楼层
继续发布资料!

电子竞赛模块—FPGA简易扩展底板:FPGA简易扩展底板是为FPGA最小系统板专门配置的,主要是给FPGA最小系统板提供5V电源(其中5V电源可以选择外部直流电源供电,也可以通过计算机USB口供电,通过跳线设置),同时将将核心板上的I/O管脚扩展到底板边缘,方便用户采用标准排线与扩展模块板相连,来搭建不同功能的系统。


FPGA简易扩展底板 (原文件名:Spartan2、3核心模块板简易扩展底板.jpg)

FPGA简易扩展底板原理图ourdev_550398.pdf(文件大小:42K) (原文件名:FPGA简易扩展底板原理图.pdf)
FPGA简易扩展底板布局图ourdev_550399.pdf(文件大小:26K) (原文件名:FPGA简易扩展底板布局图.pdf)

出0入0汤圆

 楼主| 发表于 2010-4-29 21:55:15 | 显示全部楼层
电子竞赛模块—IO扩展模块板:IO模块板配备了丰富的I/O设备,其中有 12个LED灯 、4*4矩阵键盘、拨码开关、四位七段数码管、液晶模块(1602和12864)和蜂鸣器。初级的用户能够使用拨码开关进行入门式的设计,然后在此基础上可以进一步了解键盘扫描矩阵的原理,直至完成更进一步的设计,领略更多、更深入的设计技巧。12个LED与七段数码管可以通过跳线设置选择,经数据线由 FPGA 驱动,使用简单方便;液晶模块支持16*2字符液晶和128*64图形点阵液晶(带汉字字库)显示;蜂鸣器可以根据用户需要由FPGA触发。


IO扩展模块板.jpg (原文件名:IO扩展模块板.jpg)

IO模块板原理图ourdev_550403.pdf(文件大小:56K) (原文件名:IO模块板原理图.pdf)
IO模块板布局图ourdev_550404.pdf(文件大小:39K) (原文件名:IO模块板布局图.pdf)

出0入0汤圆

发表于 2010-4-30 22:07:03 | 显示全部楼层
楼主的板子设计的非常工整,功能很强大!强烈支持,呵呵!

出0入0汤圆

发表于 2010-5-4 23:02:11 | 显示全部楼层
楼主真是好人啊~~谢谢楼主

出0入0汤圆

发表于 2010-5-5 00:03:05 | 显示全部楼层
支持一下~

出0入0汤圆

 楼主| 发表于 2010-5-15 21:56:08 | 显示全部楼层
继续发布资料!

电子竞赛套件资料—基础实验

基础实验01-LED显示实验ourdev_554550.rar(文件大小:57K) (原文件名:基础实验01-LED显示实验.rar)
基础实验02-数码管显示实验ourdev_554551.rar(文件大小:122K) (原文件名:基础实验02-数码管显示实验.rar)
基础实验03-矩阵键盘输入数码管显示实验ourdev_554552.rar(文件大小:164K) (原文件名:基础实验03-矩阵键盘输入数码管显示实验.rar)
基础实验04-拨码开关输入LED显示实验ourdev_554553.rar(文件大小:72K) (原文件名:基础实验04-拨码开关输入LED显示实验.rar)
基础实验05-拨码开关输入数码管显示实验ourdev_554554.rar(文件大小:116K) (原文件名:基础实验05-拨码开关输入数码管显示实验.rar)
基础实验06-蜂鸣器实验ourdev_554555.rar(文件大小:91K) (原文件名:基础实验06-蜂鸣器实验.rar)
基础实验07-1602英文字符显示实验ourdev_554556.rar(文件大小:224K) (原文件名:基础实验07-1602英文字符显示实验.rar)
基础实验08-12864中文字符显示实验ourdev_554557.rar(文件大小:169K) (原文件名:基础实验08-12864中文字符显示实验.rar)

出0入0汤圆

发表于 2010-5-16 11:15:22 | 显示全部楼层
强烈支持!!!

向你学习!多多交流!tangjiamin01@163.com

出0入0汤圆

发表于 2010-5-16 13:12:06 | 显示全部楼层
很强大啊。。。谢谢楼主分享! 在这里支持了!

出0入0汤圆

发表于 2010-5-18 21:54:12 | 显示全部楼层
收藏

出0入0汤圆

 楼主| 发表于 2010-5-22 23:05:48 | 显示全部楼层
回复【42楼】wuyongqing1960
-----------------------------------------------------------------------

感谢youxin2004 立青、ammcu和wuyongqing1960的支持!

数据采集的相关资料近期将发送至sisgao@gmail.com和wu_wei1986@163.com 邮箱中,请注意查收!

出0入0汤圆

 楼主| 发表于 2010-5-22 23:16:23 | 显示全部楼层
继续发布资料!  

电子竞赛套件资料—8051内核实验(在FPGA内部跑51单片机程序)

实验照片:
1、1602液晶字符显示实验(8051内核)

1602液晶字符显示实验(8051内核) (原文件名:1602液晶字符显示实验(8051内核).jpg)
2、12864液晶字符显示实验(8051内核)

12864液晶字符显示实验(8051内核) (原文件名:12864液晶字符显示实验(8051内核).jpg)
3、12864液晶图形显示实验(8051内核)

12864液晶图形显示实验1(8051内核) (原文件名:12864液晶图形显示实验(8051内核)1.jpg)

12864液晶图形显示实验2(8051内核) (原文件名:12864液晶图形显示实验(8051内核)2.jpg)
4、12864液晶画线画圆实验(8051内核)

12864液晶画线画圆实验1(8051内核) (原文件名:12864液晶画线画圆实验(8051内核)1.jpg)

12864液晶画线画圆实验2(8051内核) (原文件名:12864液晶画线画圆实验(8051内核)2.jpg)
5、12864液晶波形显示实验(8051内核)

12864液晶波形显示实验1(8051内核) (原文件名:12864液晶波形显示实验(8051内核)1.jpg)

12864液晶波形显示实验2(8051内核) (原文件名:12864液晶波形显示实验(8051内核)2.jpg)

出0入0汤圆

 楼主| 发表于 2010-5-22 23:28:15 | 显示全部楼层
继续发布资料!   

电子竞赛套件资料—8051内核实验(在FPGA内部跑51单片机程序)

1、1602液晶字符显示实验(8051内核)
1602液晶字符显示(51单片机程序)ourdev_556126.rar(文件大小:12K) (原文件名:c8051_lcd1602.rar)
2、12864液晶字符显示实验(8051内核)
12864液晶字符显示实验(51单片机程序)ourdev_556127.rar(文件大小:13K) (原文件名:c8051_lcd12864.rar)

出0入0汤圆

发表于 2010-5-23 00:16:08 | 显示全部楼层
先记号下~~

出0入0汤圆

发表于 2010-5-25 09:20:11 | 显示全部楼层
顶一下

出0入0汤圆

 楼主| 发表于 2010-5-28 20:28:05 | 显示全部楼层
继续发布资料!   

电子竞赛套件资料—8051内核实验(在FPGA内部跑51单片机程序)  

3、12864液晶图形显示实验(8051内核)
12864液晶图形显示实验(51单片机程序)ourdev_557896.rar(文件大小:24K) (原文件名:c8051_lcd12864a.rar)
4、12864液晶画线画圆实验(8051内核)
12864液晶画线画圆实验(51单片机程序)ourdev_557897.rar(文件大小:44K) (原文件名:c8051_lcd12864b.rar)

出0入0汤圆

发表于 2010-5-31 21:50:55 | 显示全部楼层
在FPGA内跑51单片机程序,就可以将单片机和FPGA的优势结合起来,很实用。向你学习!

出0入0汤圆

 楼主| 发表于 2010-6-5 22:05:35 | 显示全部楼层
继续发布资料!     

电子竞赛套件资料—8051内核实验(在FPGA内部跑51单片机程序)  

5、12864液晶波形显示实验(8051内核)
12864液晶波形显示实验(51单片机程序)ourdev_559800.rar(文件大小:49K) (原文件名:c8051_lcd12864.rar)

出0入0汤圆

发表于 2010-6-6 01:00:38 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-6-6 08:47:26 | 显示全部楼层
jh

出0入0汤圆

发表于 2010-6-7 15:00:00 | 显示全部楼层
it's cool

出0入0汤圆

发表于 2010-6-9 09:27:36 | 显示全部楼层
真的不错,期待更多

出0入0汤圆

发表于 2010-6-10 00:44:58 | 显示全部楼层
牛人,顶一个!

出0入0汤圆

发表于 2010-6-12 03:19:16 | 显示全部楼层
信号处理类电赛题流行了好一阵,感觉是那些年毕竟FPGA不太普及,专家也觉得能做出信号处理很不容易。09年国赛前一月宣布当年没有信号处理题目,最后是有一个做功放的题目里面有1/3的部分是用FPGA去进行衰减信号的数字恢复,没有纯的利用FPGA的信号处理题目了,从软件设计偏向于硬件了,因为有的题目甚至要求用分立元件搭一个末级放大电路。今年是省级就没关注,希望明天国赛有些创新吧。

AD我们之前貌似一直都是用最简单的8BIT,偏远地区都叫地区差异太大,小地方买个元件都要长途来中发买,除了做示波器的题目说芯片采样率不能超过1MHz,剩下的控制检测类一般的普通AD就可以了。

单片机控制做的好,FPGA信号处理好,谁又能说以后专家不会想出一些视频处理啥啥的题目呢。有了板子不代表就能解出专家的题目,既然楼主已经用自己的板子做好了以前多年的题目,我觉得这些才是对竞赛真正有意义的东西。

我们当时培训2个月,就是挑几道题,一个题目做3个星期,最后也就练了不到3个题目,而且有2个是信号类的,最后发现平时还是做的题目不够,因为信号的没法选。觉的如果楼主能把一整套东西,包括解题思路和最后代码都做出来就是相当有价值的了,解题思路最后得奖的倒是有很多,但是报告也就是最后一点点,很少有完整的。能在短时间内熟悉竞赛题目和流程才是王道啊。

出0入0汤圆

 楼主| 发表于 2010-6-13 21:21:57 | 显示全部楼层
回复【59楼】djp1
-----------------------------------------------------------------------

非常感谢djp1的电赛经验分享!

非常赞同djp1的想法。从近年来电赛的题目来看,单纯的数字电路的题目几乎没有,大部分都是数模混合的题目。FPGA的优势在于数字电路,所以本人开发的FPGA电子竞赛套件主要都是针对信号类竞赛题目的数字功能。要想完成整个竞赛题目,还需在模拟电路上下功夫,如信号的前端调理和后端的功率放大。

本人强烈推荐使用FPGA的51内核,将单片机的控制功能和FPGA的信号处理功能合二为一,大大简化硬件电路。

djp1所说的09年国赛最后是有一个做功放的题目,名叫“数字幅频均衡功率放大器”吧。我们也做过的,正如djp1所说,我们在FPGA内部对经过带阻网络后的失真信号进行幅度均衡,再对均衡信号进行功率放大。难点一半是FPGA数字均衡,一半则是用分立元件搭一个末级放大电路。

下图是我们09年获国家奖的电赛作品:

数字幅频均衡功率放大器 (原文件名:CIMG1982.jpg)

出0入0汤圆

 楼主| 发表于 2010-6-15 11:02:54 | 显示全部楼层
09年我们还做了另外一道信号类的题目,名叫“宽带直流放大器”,这道题的难点主要是模拟放大电路的设计,包括前端的小信号放大和后端的功率输出。我们用FPGA只是做了些简单的放大倍数控制和界面显示。

下图是我们09年获国家奖的电赛作品:

宽带直流放大器 (原文件名:CIMG1972.jpg)

出0入0汤圆

发表于 2010-6-15 11:11:59 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-6-16 09:31:05 | 显示全部楼层
太强大了!!向楼主学习!!

出0入0汤圆

发表于 2010-6-16 09:38:10 | 显示全部楼层
太猛了 佩服

出0入0汤圆

发表于 2010-6-19 08:40:05 | 显示全部楼层
楼主强悍,电子竞赛方面的实战经验非常丰富,向你看齐!

出0入0汤圆

发表于 2010-6-24 16:07:16 | 显示全部楼层
宽带直流放大器是不好做,我们组最后做这个就悲剧了,无解的双面洞洞板+零点漂移,最后还自激了,呵呵。
另外2个专业的队做这个都拿国奖了,不过都是最后加急制版。

人工洞洞板能做到多高的频率?有没有专业一点的解答下?

而且一般都是603+817,貌似零点漂移很大,我们老师说做个低频的和一个高频的,最后加滤波器合在一起,唉,多美好的想法啊,高频那里就卡死了。

那个51内核是啥意思,我们那有个外国老师教单片机和FPGA的,有个项目就是在FPGA上搭建一个51系统,可以显示51寄存器变化,我们以前都是一个软件模拟寄存器的变化。

你的51内核是怎么工作的能介绍下么?

出0入0汤圆

 楼主| 发表于 2010-6-25 22:19:30 | 显示全部楼层
回复【66楼】djp1
-----------------------------------------------------------------------

宽带直流放大器的确不好做,我们前端AD603放大是做在印制板上的,后端的功放输出则是用面包板搭的,单独调AD603电路和单独调功放电路都很好,但两级连在一块,有时就会出现零点漂移,最后想了很多方法,如调整放大倍数、加射随、加屏蔽、加补偿、加滤波,才算比较稳定了。

人工洞洞板能在高频时指标会差些,但一般10M以内的信号在上面跑还是可以的。有条件的话,可以提前将功放电路做成一个模块,这样指标能好很多。下图是我做的大功率高速DA模块板,上面就有大功率高速运放,在±15V电源供电条件下的输出电压峰峰值可达30V,输出电流可达500mA.用这块板子做05年的“正弦信号发生器”这道题的话,指标可以轻松实现。

大功率高速DA模块板 (原文件名:大功率高速DA模块板.jpg)

出0入0汤圆

发表于 2010-6-26 01:22:21 | 显示全部楼层

出0入0汤圆

发表于 2010-6-26 10:46:50 | 显示全部楼层
mark一下,等待后续,楼主方便的话,能否将简易频率计和正弦信号发生器的资料发一个我啊,邮箱:28231234@qq.com,谢谢楼主啊!

出0入0汤圆

 楼主| 发表于 2010-6-26 19:09:09 | 显示全部楼层
回复【66楼】djp1
-----------------------------------------------------------------------

FPGA的51内核设计,意思是用FPGA实现一个单片机内核,即使用硬件描述语言VHDL来实现整个系统的逻辑描述,开发出能够应用于FPGA的8051IP核,所实现的指令系统与8051单片机的指令系统完全兼容。通俗点说,就是把FPGA当单片机用,在上面跑51单片机程序。不同于普通单片机的是,FPGA的51内核运行速度比普通单片机要高很多,能跑到几十M甚至上百MHz的速度。在跑51内核的同时,FPGA还可以进行自己的逻辑设计,FPGA和51内核的有机结合,能充分发挥各自的优势,对付尽可能多的电子设计项目。

出0入0汤圆

发表于 2010-6-29 18:54:52 | 显示全部楼层
佩服lz,向你学习

出0入0汤圆

 楼主| 发表于 2010-6-30 22:04:16 | 显示全部楼层
最近正在移植Altera套件程序。下面是俺做的Altera的FPGA核心模块板,Cyclone核心模块板采用的是Altera公司Cyclone系列FPGA芯片(EP1C6Q240或EP1C12Q240)。这款FPGA核心模块板和以前做的xilinxFPGA核心模块板管脚都是兼容的,所以扩展底板都是可以共用的。


EP1C6(12)-PQ240核心模块板 (原文件名:EP1C6(12)-PQ240核心模块板.jpg)


EP1C6(12)-PQ240核心模块板+扩展底板 (原文件名:EP1C6(12)-PQ240核心模块板+扩展底板.jpg)

出0入0汤圆

发表于 2010-6-30 22:14:19 | 显示全部楼层
mark

出0入0汤圆

 楼主| 发表于 2010-7-3 21:34:30 | 显示全部楼层
最近做的另一个项目,基于TFT彩屏显示的便携示波器。信号的采集控制由FPGA的VHDL代码完成,TFT彩屏的显示用的就是FPGA的51内核。这样设计可以大大简化硬件电路。


TFT彩屏示波器 (原文件名:TFT彩屏示波器.jpg)

出0入127汤圆

发表于 2010-7-4 01:03:00 | 显示全部楼层
强人啊

出0入0汤圆

发表于 2010-7-4 15:28:49 | 显示全部楼层
强人啊.............................................

出0入0汤圆

发表于 2010-7-7 21:23:00 | 显示全部楼层
楼主把FPGA的51内核功能发挥得比较完美。以前一直以为51内核没什么用,其实还是自己不会用。看来FPGA的51内核功能也是比较实用的。

出0入0汤圆

发表于 2010-7-7 22:19:48 | 显示全部楼层
强顶楼主!我的QQ:403246557(请标明“FPGA交流”),楼主有机会交流下,我是个马上大三的学生了,接触FPGA也不久(半年多吧),希望能从楼主那取点经,呵呵

出0入0汤圆

发表于 2010-7-10 04:25:03 | 显示全部楼层
回复【68楼】navalguy
-----------------------------------------------------------------------

我们那个末级功放也是去找的大功率功放芯片,要是拿分立电路搭的话估计专门搞音响的很容易能搞定,摸电没学好都没理解呢,瞎找电路肯定不行哎。。

那51内核具体怎么读程序,直接就把程序烧到FPGA的rom里面了?貌似也可以。
速度大概能多多少呢?肯定会比51快很多的,我们之前用过f8051的片子,是单指令集反正也很快,能到25Mhz吧,老师说跑到50M也可以,不过标的是25Hmz最高。

还有个问题是这个内核占用多少资源?
比如EP1C6,他需要占用%多少的LEs呢?

毕业了散伙饭送人啥啥的,周末才有机会开个电脑逛论坛,真不好意思啊。
好想做东西,不过实验室不给力啊,弄的是理论的数字结构的东西了。

出0入0汤圆

发表于 2010-7-10 09:59:09 | 显示全部楼层
支持开源,支持楼主,很想再了解下这个51核。能否再对这个51核再描写详细些。

出0入0汤圆

 楼主| 发表于 2010-7-13 08:37:51 | 显示全部楼层
看来还是有不少哥们对这个51内核感兴趣,下面我简单介绍一下51内核的开发过程。
    目前网上公开发布的几个比较典型的805l IP核,如DW8051核.OpenCore组织的0C8051核及T51核,MC805l核等。这些IP核都是采用HDL语言描述的软MCU内核,其与工艺无关,能够在多种FPGA上进行逻辑综合及实现。
    我使用的是Oregano公司开发的1.5版本免费的MC8051 IP核,它具有如下特点:1)完全同步的设计;2)指令集与标准的8051 MCU完全兼容;3)采用优化的内核结构,指令周期较原MCS-51系列大幅提高,每一个指令仅占用1~4个时钟周期;4)256字节内部的RAM,最大可提供64KB的ROM和64KB的RAM资源。
    在FPGA中嵌入的8051内核,具有与51单片机类似的存储器结构,这些存储器的设计均使用FPGA芯片中的Block RAM资源,通过Xilinx提供的Core Generator工具实现。Core Generator是一个完整的设计工具,用来生成设计所需要的IP核,它既可以单独运行,也可以在ISE设计工具内执行。在设计中,要用到单片机中的三种存储区,分别为DATA,XDATA和CODE,这些存储区都是通过配置RAM & ROM中的单端口Block RAM(Single-Port Block RAM)IP核实现的。
    MC8051 IP核的设计过程共包括如下5个步骤:
1)用Keil 编译8051的代码。
2)将生成的hex文件用hex->bin工具转成bin文件;
3)用bin->coe工具将hex文件转成coe文件;
4)在core generator 生成的ROM中指明coe文件的位置;
5)编译、下载到FPGA芯片。
   MC8051 IP核占用的FPGA较多,我原先用Xilinx XC2S200PQ208芯片,Slice资源占用约60—70%,再加上一些FPGA自己的逻辑控制,资源用的就差不多了。后来用XC3S400PQ208芯片,资源就比较够用了。

出0入0汤圆

发表于 2010-7-15 12:14:28 | 显示全部楼层
楼主果然功力深厚,佩服,佩服。向你学习。

出0入0汤圆

发表于 2010-7-15 13:29:19 | 显示全部楼层
mark  学习了

出0入0汤圆

 楼主| 发表于 2010-7-16 22:51:05 | 显示全部楼层
51内核用好了,也是可以开发出比较好的作品的。秀一下最新的竞赛作品效果。


基于Spartan3核心板的电子竞赛开发板 (原文件名:基于Spartan3核心板的电子竞赛开发板.JPG)


示波器显示界面 (原文件名:示波器显示界面.JPG)


幅频特性测试仪显示界面 (原文件名:幅频特性测试仪显示界面.JPG)

出0入0汤圆

发表于 2010-7-18 09:22:40 | 显示全部楼层
涨见识了,强人啊!

那个幅频特性测试仪是怎么做的?
头像被屏蔽

出0入0汤圆

发表于 2010-7-18 09:31:36 | 显示全部楼层
1. COOL . COOL帖子允许做广告。

2. 上面要求私下提供资料的网友,败坏了我们论坛的交流与讨论气氛。这些帖子已经全部被删除。

3. 楼主助长这种不良气氛,扣分50处理. 其它参与的网友也扣分50.


注: 为了避免负分的出现,涉及的网友如积分不到50的,统一封锁 ID 处理。
     楼主的分数也不到50分,ID也被封锁。 如果仍喜欢我们这里,可以重新注册iD.


再重申一次: 所有的交流请在论坛上进行。 不想公开交流的,请离开我们这里。

我们这里之所以资料丰富, 讨论气氛好,源来各种乐于开源者的无私交流与贡献。 我不会容许这个气氛被破坏。




另:饮水思源。 大家必须明白, 我们这里的资料,不是从天上掉下来的。

出0入0汤圆

发表于 2010-7-18 09:48:34 | 显示全部楼层
MARK

出0入0汤圆

发表于 2010-7-18 10:15:18 | 显示全部楼层
mark fpga 51内核

出0入0汤圆

发表于 2010-7-18 11:20:12 | 显示全部楼层
MARK

出0入0汤圆

发表于 2010-7-18 12:08:07 | 显示全部楼层
楼主参加了几届电赛啊?

出0入0汤圆

发表于 2010-7-18 14:34:24 | 显示全部楼层
回复【87楼】armok 阿莫
1. cool . cool帖子允许做广告。
2. 上面要求私下提供资料的网友,败坏了我们论坛的交流与讨论气氛。这些帖子已经全部被删除。
3. 楼主助长这种不良气氛,扣分50处理. 其它参与的网友也扣分50.
注: 为了避免负分的出现,涉及的网友如积分不到50的,统一封锁 id 处理。  
     楼主的分数也不到50分,id也被封锁。 如果仍喜欢我们这里,可以重新注册id.
再重申一次: 所有的交流请在论坛上进行。 不想公开交流的,请离开我们这里。
我们这里之所以资料丰富, 讨论气氛好,源来各种乐于开源者的无私交流与贡献。 我不会容许这个气氛被破坏。

另:饮水思源。 大家必须明白, 我们这里的资料,不是从天上掉下来的。
-----------------------------------------------------------------------

首先非常感谢站长阿莫对该贴的置COOL支持!
先前有些网友留下Email地址索要一些资料,俺Email回复了,可能违反了论坛的交流与讨论气氛。在此表示歉意,希望能重新注册ID,继续在论坛和大家里进行交流。
现在手头有不少电子竞赛的经验和资料可以和大家一起交流分享,待俺陆续整理传上来。也希望大家把自己的竞赛经验和资料拿出来公开交流,共同进步!

出0入0汤圆

发表于 2010-7-18 15:25:06 | 显示全部楼层
烂铺招租

出0入0汤圆

发表于 2010-7-18 17:37:42 | 显示全部楼层
回复【86楼】tangjiamin01
涨见识了,强人啊!
那个幅频特性测试仪是怎么做的?
-----------------------------------------------------------------------

通过FPGA控制DA产生扫频信号,将扫频信号送入被测网络,再将网络输出信号送给AD采集,完成每个频点的幅度和相位测量,并通过TFT彩屏液晶将幅频曲线和相频曲线显示出来。其中扫频信号的产生、AD采集控制、幅度和相位测量都是利用FPGA的逻辑设计完成,TFT彩屏液晶显示则是利用51内核完成。

出0入0汤圆

发表于 2010-7-18 17:41:52 | 显示全部楼层
回复【91楼】super373
楼主参加了几届电赛啊?
-----------------------------------------------------------------------

从05年至今,除了国赛还有省赛。

出0入0汤圆

发表于 2010-7-18 19:29:41 | 显示全部楼层
怎么电子大赛还有职业和非职业的区别呀

出0入0汤圆

发表于 2010-7-19 22:13:13 | 显示全部楼层
回复【96楼】some9009 倔强落叶
怎么电子大赛还有职业和非职业的区别呀
-----------------------------------------------------------------------

大学生电子设计竞赛分全国赛和各省赛,全国赛每两年一界。今年是各省赛。

出0入0汤圆

发表于 2010-7-20 01:33:18 | 显示全部楼层
COOL了不错嘛~呵呵

时不时过来瞅一瞅~

出0入0汤圆

发表于 2010-7-20 08:40:23 | 显示全部楼层
这个贴真好

出0入0汤圆

发表于 2010-7-20 14:38:53 | 显示全部楼层
回复【98楼】djp1
cool了不错嘛~呵呵
时不时过来瞅一瞅~
-----------------------------------------------------------------------

回复【99楼】yasewang2 灰太狼
这个贴真好
-----------------------------------------------------------------------

谢谢你们的支持!

希望大家都能够参与到这个贴子中来,把自己的优秀作品拿出来秀一下,将竞赛经验和大家一起交流分享!

出0入0汤圆

发表于 2010-7-20 14:54:23 | 显示全部楼层
不错,支持一下!!!

出0入0汤圆

发表于 2010-7-20 17:34:41 | 显示全部楼层
挺好的,支持下!

出0入0汤圆

发表于 2010-7-21 08:29:43 | 显示全部楼层
秀一下最近赛前训练的一个作品,任意波发生器。任意波发生器曾是2001年的A题竞赛题目。任意波发生器是通过FPGA控制DA,产生一个随意波的仪器。实际上是将波形预先存入到FPGA的ROM内,然后根据需要进行调用。在构思好任意波后,用数学公式进行描述,然后通过MATLAB将数据离散。有了数据后,还需要将数据导入FPGA的ROM中。

下图是将MATLAB仿真的数据导入FPGA内产生的圆形笑脸任意波。

任意波发生器 (原文件名:任意波发生器.JPG)

出0入0汤圆

发表于 2010-7-21 23:36:33 | 显示全部楼层
我还是第一次在示波器上看到笑脸波形,楼主真有创意!怎样能使DA在同一个时刻输出多个值在示波器上显示呢?

出0入0汤圆

发表于 2010-7-22 09:04:40 | 显示全部楼层
回复【104楼】tangjiamin01  
我还是第一次在示波器上看到笑脸波形,楼主真有创意!怎样能使da在同一个时刻输出多个值在示波器上显示呢?
-----------------------------------------------------------------------
应该是离散的点构成的,同一个时刻只有一个值

出0入0汤圆

发表于 2010-7-23 00:31:46 | 显示全部楼层
回复【104楼】tangjiamin01
我还是第一次在示波器上看到笑脸波形,楼主真有创意!怎样能使da在同一个时刻输出多个值在示波器上显示呢?
-----------------------------------------------------------------------

回复【105楼】usingavr
回复【104楼】tangjiamin01   
我还是第一次在示波器上看到笑脸波形,楼主真有创意!怎样能使da在同一个时刻输出多个值在示波器上显示呢?
-----------------------------------------------------------------------
应该是离散的点构成的,同一个时刻只有一个值

-----------------------------------------------------------------------

usingavr说的对,送给da的数据在同一个时刻只有一个值 ,示波器要采用“点显示”方式才能看到上面的效果。

出0入0汤圆

发表于 2010-7-23 08:31:47 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-7-24 22:06:11 | 显示全部楼层
回复【105楼】usingavr
-----------------------------------------------------------------------

回复【106楼】navalguy2
-----------------------------------------------------------------------

谢谢,明白了。不管什么波形,只要画好放进ROM里就可以输出任意波形,对吧。

出0入0汤圆

发表于 2010-7-25 23:44:28 | 显示全部楼层
MARK

出0入0汤圆

发表于 2010-7-26 15:23:54 | 显示全部楼层
人才呀,顶一个

出0入0汤圆

发表于 2010-7-26 21:01:23 | 显示全部楼层
回复【87楼】armok 阿莫
-----------------------------------------------------------------------

其实挺感谢您,也挺佩服你的  嘿嘿,

顶一个

         这里远比混乱的现实世界美好 ~~~~~~~~~~~~~~~~

出0入0汤圆

发表于 2010-7-28 22:06:13 | 显示全部楼层
今天刚从学校拿到“高教社&XILINX杯”竞赛用指定开发板(EXCD-1开发板)。这块板子不便宜,听说要上千元,秀一下。

EXCD-1开发板 (原文件名:EXCD-1开发板.jpg)
跑了一下里面的例程,有个俄罗斯方块游戏有点意思,测试结果如下图:

俄罗斯方块游戏 (原文件名:俄罗斯方块游戏.jpg)
程序是用VHDL代码写的,有点复杂。源代码如下:
俄罗斯方块游戏ourdev_571377.rar(文件大小:3.67M) (原文件名:ISE_lab19.rar)

出0入0汤圆

发表于 2010-7-30 12:41:10 | 显示全部楼层
回复【112楼】navalguy2
-----------------------------------------------------------------------

想起来又到了一年的电赛假期了哈~ 还是国赛有意思,哈哈

出0入0汤圆

发表于 2010-7-31 20:27:06 | 显示全部楼层
回复【108楼】tangjiamin01
-----------------------------------------------------------------------
谢谢,明白了。不管什么波形,只要画好放进rom里就可以输出任意波形,对吧。
-----------------------------------------------------------------------

是的,原理上说可以生成任意形状的波形。

出0入0汤圆

发表于 2010-7-31 20:51:43 | 显示全部楼层
回复【113楼】djp1
-----------------------------------------------------------------------

是啊,今年是省赛,题目应该比国赛简单些。不过想获得高分也不太容易。

下面是今年5月份举办的“高教社&XILINX杯”2010年北京市大学生电子设计竞赛的有关情况。希望能给今年其他省的竞赛选手有所启发。

竞赛采取一天封闭形式,在北京市的7所高校集中进行。这是一次公平的竞赛,所有本科参赛队伍均使用高教社(北京中教仪装备技术有限公司)提供的EXCD-1可编程片上系统开发板,竞赛需要用到的所有设备、元器件和通用PCB板均由北京市竞赛组委会统一发放。

本科组题为“乒乓球游戏机”,系统框图如下:

“乒乓球游戏机”系统框图 (原文件名:“乒乓球游戏机”系统框图.jpg)

要求用指定的FPGA平台结合SOPC技术构造一个乒乓球游戏机。系统结构如图所示,FPGA通过两条I/O信号输出两组脉宽调制波,经过低通滤波器提取脉宽调制的均值电压,输入到双通道示波器中。示波器工作在X/Y模式。示波器屏幕上的光点代表乒乓球。乒乓球X/Y电压的控制下在屏幕上移动。
这道题可用状态机实现,也可用51内核或MicoBlaze软核实现。如果用硬件描述语言写状态机实现,对逻辑要求非常高,在一天时间内写出来工作量很大,因此使用51内核或MicoBlaze软核实现,更加简单方便。

目前,我们正在以这道题作为赛前的训练题目,过一段时间将我们的训练结果发布上来。大家有什么好的经验和资料,也可以一起交流一下。

出0入0汤圆

发表于 2010-7-31 22:21:43 | 显示全部楼层
mark 强帖 留名
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-3-29 20:37

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表