搜索
bottom↓
楼主: armok

网站逻辑分析仪开源项目软件版本汇总及最新版本发布

  [复制链接]

出0入0汤圆

发表于 2010-8-28 16:04:43 | 显示全部楼层

出0入0汤圆

发表于 2010-8-30 11:35:39 | 显示全部楼层
确实【378楼】 Paul 使用感觉比较好,可惜Paul 好像加了采样深度限制(最大仅32K),如果Paul大侠可以公开源码,那真是给网友造福了。

出0入0汤圆

发表于 2010-8-30 12:06:30 | 显示全部楼层
回复【397楼】QZDZ
确实【378楼】 paul 使用感觉比较好,可惜paul 好像加了采样深度限制(最大仅32k),如果paul大侠可以公开源码,那真是给网友造福了。

-----------------------------------------------------------------------

32K的限制是为了调试时方便加的,因为太多的数据导致绘图很慢,上传时忘了把它去掉了,抱歉.只好麻烦你再下一次了.
点击此处下载 ourdev_578746.rar(文件大小:574K) (原文件名:逻辑分析仪软件.rar)
上面的绘图速度要比以前快些,还有一些小的改进.希望大家用得高兴.

出0入0汤圆

发表于 2010-8-30 14:17:34 | 显示全部楼层
谢谢paul

向莫总建议:将paul的软件也归到一楼,为区分“roasn 冰封的心”的作品,可增加一行分隔线。

出0入0汤圆

发表于 2010-8-31 09:42:58 | 显示全部楼层
已将【398楼】 Paul 的软件更新至楼主位

出0入0汤圆

发表于 2010-9-2 22:43:49 | 显示全部楼层
楼主好强大啊!佩服佩服!顶

出0入0汤圆

发表于 2010-9-29 00:46:50 | 显示全部楼层
佩服,一定要顶!

出0入0汤圆

发表于 2010-9-29 16:17:45 | 显示全部楼层
64位的windows7驱动安装不上啊

出0入0汤圆

发表于 2010-9-29 16:39:24 | 显示全部楼层
有没有64位的windows7驱动呀

出0入0汤圆

发表于 2010-9-29 16:53:01 | 显示全部楼层
回复【404楼】linuxyan  
有没有64位的windows7驱动呀
-----------------------------------------------------------------------

上传了一个64位的驱动,我没有64位的系统,你试试

出0入0汤圆

发表于 2010-9-29 18:24:04 | 显示全部楼层
试了下 不行哦

出0入0汤圆

发表于 2010-9-29 18:34:25 | 显示全部楼层

(原文件名:setup.jpg)

出0入0汤圆

发表于 2010-9-30 01:23:51 | 显示全部楼层
更新了一下,你再试试

出0入0汤圆

发表于 2010-9-30 18:27:11 | 显示全部楼层
非常感谢! 现提示数字签名错误
(原文件名:test.jpg)

出0入0汤圆

发表于 2010-9-30 19:49:00 | 显示全部楼层
回复【408楼】roasn 冰封的心
-----------------------------------------------------------------------

非常谢谢!
我目前用虚拟机XP暂时可以用了

(原文件名:test.JPG)

出0入0汤圆

发表于 2010-9-30 22:16:17 | 显示全部楼层
64位系统这个问题的确有点麻烦,你可以关闭数字签名检查。
虽然只是治标不治本,起码能用

出0入0汤圆

发表于 2010-10-25 14:56:32 | 显示全部楼层
sorry!
我把使用Paul软件时把逗号给看成小数点,以为软件BUG使单位显示错误。

出0入0汤圆

发表于 2010-11-16 17:17:12 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-11-17 16:05:07 | 显示全部楼层
帮顶

出0入0汤圆

发表于 2010-11-21 20:23:45 | 显示全部楼层
支持,打算买一个

出0入0汤圆

发表于 2010-11-23 16:01:28 | 显示全部楼层
Mark

出70入10汤圆

发表于 2010-11-23 17:02:08 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-11-24 11:43:26 | 显示全部楼层
请教一下,那个在USB1.1下会出错的问题解决了吗?

出0入0汤圆

发表于 2010-11-27 09:07:13 | 显示全部楼层
回复【419楼】QZDZ  
请教一下,那个在usb1.1下会出错的问题解决了吗?
-----------------------------------------------------------------------

没有,暂时没有打算支持USB1.1

出0入0汤圆

发表于 2011-2-17 12:24:54 | 显示全部楼层
支持
学习

出0入0汤圆

发表于 2011-3-6 17:09:19 | 显示全部楼层
74LVC16245被打坏了,除换芯片,还有什么办法没?

靠,只见夹子上一个小火花,没在意,回头看电脑狂报错,,,再插USB,3个灯都无反应。。。
仿佛闻到刺鼻的味道,打开一看,U4 1117烧了,测了下3.3V和GND短路,估计74坏了,于是拿下1117和74后测量没问题
临时借用了下U5的3.3V,然后插了USB线,电源和USB灯亮,:),然后用上位机测试了下计数器,波形正常,FPGA也没事,哈哈

本来以为有1M电阻隔离的,拆开一看没有,只有个逻辑转换芯片,看来以后用要小心了。

出0入0汤圆

发表于 2011-3-8 23:58:47 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-3-12 22:41:07 | 显示全部楼层
http://www.ourdev.cn/bbs/bbs_content.jsp?bbs_sn=3491310&bbs_page_no=1&bbs_id=3055

出0入0汤圆

发表于 2011-3-14 09:31:56 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-3-18 22:19:12 | 显示全部楼层
我有点看不懂 希望通俗易懂一点

出0入0汤圆

发表于 2011-4-5 17:25:54 | 显示全部楼层
MARK

出0入0汤圆

发表于 2011-4-5 20:55:29 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-4-6 10:45:13 | 显示全部楼层
哇 好全啊,感谢楼主

出0入0汤圆

发表于 2011-4-22 23:01:36 | 显示全部楼层
回复【306楼】seamas  
to 【245楼】 roasn 冰封的心
最近用h2feo4的下载线仔细研究了一下xup开源项目(http://inisyn.org/src/xup/)
发觉这个项目可以用于逻辑分析仪的fpga程序升级,简单的说就是把cy7c68013的io连到fpga的jtag口,然后下载一个特殊固件到cy7c68013中,上位机再运行一个程序把烧录文件通过usb口送给cy7c68013进行烧录。这样一来就可以抛开并口下载线和巨大无比的impact。
-----------------------------------------------------------------------

如果可以直接通过cy7c68013进行FPGA烧录, 那开发调试就非常方便了. 期待楼主新板子时能加进去. 这样就可以考虑买来做开发板了. 呵呵. 支持!!!

出0入0汤圆

发表于 2011-4-22 23:25:26 | 显示全部楼层
这个板子方便做开发应用, 期待能做新版.

不知 roasn 是否没有时间做?

出0入0汤圆

发表于 2011-4-22 23:59:29 | 显示全部楼层
MARK

出0入0汤圆

发表于 2011-4-28 22:23:43 | 显示全部楼层
MARK

出0入0汤圆

发表于 2011-5-3 13:12:08 | 显示全部楼层
mark,以后来学习!

出0入0汤圆

发表于 2011-5-8 20:06:51 | 显示全部楼层
回复【楼主位】armok 阿莫
-----------------------------------------------------------------------

大哥啊,为什么我登陆了,还叫说“需要登陆论坛才能下载附件”!请看图片

登陆界面 (原文件名:未命名1.JPG)

出0入0汤圆

发表于 2011-5-14 09:29:24 | 显示全部楼层
mark ,thanks !

出0入0汤圆

发表于 2011-5-18 08:54:39 | 显示全部楼层
mark 逻辑分析仪

出0入0汤圆

发表于 2011-5-18 09:31:06 | 显示全部楼层
ding

出0入0汤圆

发表于 2011-5-20 11:40:19 | 显示全部楼层
哪位坛友给我点提示,错误是哪方面的,该从哪方面入手.
我这几天按照站上的开源逻辑分析仪项目,投板做了一个板子.给芯片下载程序的时候,显示有错误.
pcb改动 : 邮购部没货了,自己和同事一起画板做了一个.为了适合铝制外壳,改动了布局和管脚分配.
原理图改动 :IO除第57脚的clk外,全部重新分配了引脚.
操作过程 : 将现在的管教连接将.ucf文件中对应的管脚名更改后,重新编译并生成.bit文件.之后在iMPACT中,"program fpga only" 能够成功,但是"Program flash and fpga"在进行到中间的时候出错.应该是在"verify"阶段.单独"verify"也会出错.
下面是"Program flash and fpga"后的显示信息:
-------------------------------------------------------------------------------------------------------------------
// *** BATCH CMD : Program -p 1 -e -v
Maximum TCK operating frequency for this device chain: 0.
Validating chain...
Boundary-scan chain validated successfully.
INFO:iMPACT - Address 0x00000000 is in sector 0.
INFO:iMPACT - Start block = 0 for address 0x00000000.
INFO:iMPACT - Address 0x0000D587 is not located at the start of a sector boundary. The whole sector will be erased.
INFO:iMPACT - Address 0x0000D587 is in sector 2.
INFO:iMPACT - End block = 31 for address 0x0000D587.
INFO:iMPACT - Address 0x00000000 is in sector 0.
INFO:iMPACT - Address 0x0000D587 is not located at the start of a sector boundary. The whole sector will be erased.
INFO:iMPACT - Address 0x0000D587 is in sector 2.
INFO:iMPACT - Address 0x00000000 is in sector 0.
INFO:iMPACT - Address 0x0000D587 is not located at the start of a sector boundary. The whole sector will be erased.
INFO:iMPACT - Address 0x0000D587 is in sector 2.
PROGRESS_START - Starting Operation.
'1': Erasing device...done.
'1': Erasure completed successfully.
INFO:iMPACT - Address 0x00000000 is in sector 0.
INFO:iMPACT - Address 0x0000D587 is not located at the start of a sector boundary. The whole sector will be erased.
INFO:iMPACT - Address 0x0000D587 is in sector 2.
INFO:iMPACT - Address 0x00000000 is in sector 0.
INFO:iMPACT - Address 0x0000D587 is not located at the start of a sector boundary. The whole sector will be erased.
INFO:iMPACT - Address 0x0000D587 is in sector 2.
INFO:iMPACT - Address 0x00000000 is in page 0.
INFO:iMPACT - Address 0x0000D587 is in page 207.
'1': Programming Flash...done.
'1': Programming completed successfully.
INFO:iMPACT - Address 0x00000000 is in page 0.
INFO:iMPACT - Address 0x0000D587 is in page 207.
'1': Verifying device...Verify failed on page 9.
'1': Verification Terminated...done.
INFO:iMPACT - '1': Checking done pin....done.
'1': Programming terminated. DONE did not go high.
PROGRESS_END - End Operation.
Elapsed time =     34 sec
---------------------------------------------------------------------------------------------------------------------

出0入0汤圆

发表于 2011-5-27 15:45:14 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-7-20 11:34:38 | 显示全部楼层
回复【楼主位】armok  阿莫
-----------------------------------------------------------------------

辛苦了

出0入0汤圆

发表于 2011-7-21 17:03:23 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-7-21 20:37:58 | 显示全部楼层
回复【439楼】384723391
-----------------------------------------------------------------------

会不会是下载线有问题,不是很稳定?
如果确实没有其他问题,那么可以试试先执行"program fpga only",然后再执行"Program flash and fpga",虽然不能一次成功,多试几次应该能成功一次。如果一次都不成功,还是仔细找找其他地方有没有问题吧。

出0入0汤圆

发表于 2011-7-27 10:43:01 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-8-5 10:01:08 | 显示全部楼层
mark 上班,回家看

出0入0汤圆

发表于 2011-8-5 12:21:34 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-8-17 16:25:10 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-8-19 16:04:58 | 显示全部楼层
mark!!!

出0入0汤圆

发表于 2011-8-26 09:02:30 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-9-3 09:37:01 | 显示全部楼层
在使用中出现一个问题,捕捉I2c时,屏幕上会出现捕捉到的数据,然后又消失了,又出现捕捉到的数据,又消失了,最后屏幕上什么都没有。查看解码数据,显示数据为0。
捕捉串口也一样。
各位能否指点一下。
有使用过的网友能否写一份对应的使用指引,例如:I2c,Uart。。。。说明书没有提到具体对应使用啊。

出0入0汤圆

发表于 2011-9-5 23:11:32 | 显示全部楼层
mark~

出0入12汤圆

发表于 2011-9-5 23:46:09 | 显示全部楼层
标记

出0入0汤圆

发表于 2011-9-6 22:55:30 | 显示全部楼层
非常有用,值得学习下,谢谢!

出0入0汤圆

发表于 2011-9-8 23:20:43 | 显示全部楼层
不错,mark

出0入0汤圆

发表于 2011-9-26 12:35:31 | 显示全部楼层
支持一个!

出0入0汤圆

发表于 2011-9-30 12:51:06 | 显示全部楼层
xuexizhong

出0入0汤圆

发表于 2011-10-6 21:22:48 | 显示全部楼层
回复【楼主位】armok 阿莫
-----------------------------------------------------------------------
支持中

出0入0汤圆

发表于 2011-10-30 10:36:25 | 显示全部楼层
非常感谢,下载学习先

出0入0汤圆

发表于 2011-11-7 17:50:04 | 显示全部楼层
回复【420楼】roasn  冰封的心
---------------------------------------------------------------------
买不到板子,郁闷啊~~~

顺便问下新版(DDR)咋样了啊?

出0入0汤圆

发表于 2011-11-16 22:02:40 | 显示全部楼层
mark 逻辑分析仪

出20入12汤圆

发表于 2011-11-30 21:39:24 | 显示全部楼层

出0入0汤圆

发表于 2011-12-19 13:21:17 | 显示全部楼层
逻辑分析仪
不错。

出0入0汤圆

发表于 2012-2-20 17:10:58 | 显示全部楼层
重新学习中...

出0入0汤圆

发表于 2012-2-21 14:38:51 | 显示全部楼层
mark~~~~~~

出0入0汤圆

发表于 2012-2-21 15:12:43 | 显示全部楼层
mark~~~~~~

出0入0汤圆

发表于 2012-4-26 09:20:47 | 显示全部楼层
好东西  可以不懂CPLD  飘过了

出0入0汤圆

发表于 2012-4-27 10:28:58 | 显示全部楼层
用的是Xilinx的芯片,我只用过ALTERA。

出0入0汤圆

发表于 2012-5-2 14:05:16 | 显示全部楼层
正好要找这些资料  非常的好呀!!!

出0入0汤圆

发表于 2012-5-14 20:55:24 | 显示全部楼层
好东西 不过下载不了  好像很久了啊 怎么还没弄好呢???

出0入0汤圆

发表于 2012-5-26 08:18:41 | 显示全部楼层
什么时候可以恢复下载

出0入0汤圆

发表于 2012-5-26 21:06:58 | 显示全部楼层
阿莫,最近才发现原来网站有开源逻辑分析仪的项目,网站的资料还是下载不了,你可以转发一下给我吗,
顺便问一下,怎么没看到flash memory呢,位流文件怎么解决的

出0入0汤圆

发表于 2012-7-16 21:36:13 | 显示全部楼层
学习,谢谢

出0入0汤圆

发表于 2012-7-29 02:05:48 | 显示全部楼层
非常棒的东西,谢谢了

出0入0汤圆

发表于 2012-10-18 11:21:45 | 显示全部楼层
支持,谢谢!

出0入0汤圆

发表于 2012-10-27 15:13:27 | 显示全部楼层
关注!支持一下

出0入0汤圆

发表于 2012-11-23 09:35:17 | 显示全部楼层
非常感谢,开源力量大

出0入0汤圆

发表于 2013-1-20 17:20:01 | 显示全部楼层
mark

出0入0汤圆

发表于 2013-2-1 13:34:08 | 显示全部楼层
mark!好东西

出0入0汤圆

发表于 2013-2-11 09:54:10 | 显示全部楼层
64位WIN7,在安装64位驱动程序后仍不能用,关闭了数字签名检查也不行,能否请roasn和paul更新下。谢谢!

出0入0汤圆

发表于 2013-4-17 15:39:21 | 显示全部楼层
触发沿不能同时上升沿和下降沿有点遗憾

出0入0汤圆

发表于 2013-4-18 09:52:48 | 显示全部楼层
版主能否写一份对应的使用指引,例如:I2c,Uart。。。。说明书没有提到具体对应使用啊,新手上手快一点,不过这得辛苦版主了

出0入0汤圆

发表于 2013-6-12 20:57:45 | 显示全部楼层
armok 发表于 2009-8-1 22:38
【19楼】 roasn 冰封的心
安装iocomp即可,论坛上有。阿莫不用试了,没装控件都会这样  
----------------- ...

阿莫电子论坛是我去过的影象最深的论坛因为他无私的开源。在我去过其实我有一个心愿,我特别希望楼主能发布一份为初学者提供的电路图和解决方案(最容易制作和理解的那种)。我们感觉我们初学者入门确实很难。mach3方面现有的资料太少了!!

出0入0汤圆

发表于 2013-7-30 17:10:27 | 显示全部楼层
要是有网友弄个LabView的软件出来就好,可惜我不会用LabView.

出0入0汤圆

发表于 2013-9-17 12:10:47 | 显示全部楼层
mark,收藏。到时候看看自己要不要
谢谢分享

出0入0汤圆

发表于 2013-10-30 13:00:18 | 显示全部楼层
谢谢分享~怎么入门

出0入0汤圆

发表于 2013-11-11 11:37:33 | 显示全部楼层
谢谢分享啊,很好很强大。

出0入0汤圆

发表于 2013-11-17 23:13:44 | 显示全部楼层
mark,好东西!

出0入0汤圆

发表于 2013-11-20 20:55:06 | 显示全部楼层
谢谢,非常好的资料

出0入0汤圆

发表于 2013-12-2 19:54:56 | 显示全部楼层
很不错啊,学习了

出0入0汤圆

发表于 2014-2-11 16:48:50 | 显示全部楼层
不太懂上位机是怎么解析协议的,但是想问下如果 可以把解析协议用类似lua之类的脚本进行配置 是不是大家就都可以自己随便添加了呢?

出0入0汤圆

发表于 2014-2-24 23:03:34 | 显示全部楼层
看看,学习一下

出0入0汤圆

发表于 2014-2-28 11:51:23 | 显示全部楼层
这么给力的资源,顶楼主、顶阿莫

出0入0汤圆

发表于 2014-6-7 23:26:10 | 显示全部楼层
2009 年 ~~~ 现在2014年~

出0入0汤圆

发表于 2014-6-8 10:03:31 | 显示全部楼层
标记,网站逻辑分析仪开源项目软件版本汇总及最新版本发布

出0入0汤圆

发表于 2014-6-8 15:42:52 | 显示全部楼层
这么详细的资料?

出0入0汤圆

发表于 2014-6-11 11:59:41 | 显示全部楼层
这个帖子里面驱动不能下载

请莫大修改一下

修改:会出现“需要登陆论坛才能下载附件 返回论坛‘
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-4-19 05:42

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表