搜索
bottom↓
回复: 431
打印 上一主题 下一主题

重量级资料 第二版示波器试验资料完全开源(125Msps采样,双通道)

  [复制链接]

出0入21汤圆

跳转到指定楼层
1
发表于 2009-9-30 10:46:17 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
明天就国庆了,放假了,呵呵~
    本打算把整个工程弄完在开源上传的,但是又怕拖得太久对不起大家的热情,这里开源的资料包括FPGA的工程包,还有软核的完整c程序,该贴中的所有功能都是由它实现的:http://www.ourdev.cn/bbs/bbs_content.jsp?bbs_sn=3487460&bbs_page_no=1&bbs_id=3051
    这个工程就剩下模拟的程控放大和电源管理了,我还在继续做,估计很快能完成,因为其间电脑重装了系统,电路图找不到了,我从邮箱里将PCB文件找到了发上来,我觉得这个比电路图更有价值,可以从工程的PIN引脚信息中看出FPGA与外围器件的连接,电路很简单。有需要的网友可以直接拿这个PCB文件去打样。谢谢大家支持,双节快乐!
我要将“魏坤手持示波仪开源DIY活动”做成网上最好的示波器开源项目,希望大家继续支持!
FPGA工程包ourdev_487244.rar(文件大小:15.02M) (原文件名:DSO_Project.rar)
实验板的PCB文件ourdev_487245.rar(文件大小:359K) (原文件名:DSO_Project_PCB.rar)
实验板的pdf文件ourdev_487246.pdf(文件大小:214K) (原文件名:DSO_Project_PCB.pdf)
引脚图1ourdev_487247.jpg(文件大小:510K,只有400K以内的图片才能直接显示) (原文件名:PIN1.jpg)
引脚图2ourdev_487248.jpg(文件大小:508K,只有400K以内的图片才能直接显示) (原文件名:PIN2.jpg)
引脚图3ourdev_487249.jpg(文件大小:469K,只有400K以内的图片才能直接显示) (原文件名:PIN3.jpg)

现在实现的功能:

1.双通道测量,可以选择一通道、二通道或双通道,每通道125M的采样率,换用-6的FPGA可以做到200M,其实-8的做到200M也很稳定,但不想背“超频”的恶名所以根据扫速将采样率限制在125M每通道。
2.FFT变换,可选择信号来源,一通道或二通道。
3.硬件触发,1、2通道单独触发或交替触发选择,且触发电平可选。
4.扫速:200ns/div至5s/div,2-5-10步进。
5.触发式频率测量,最高测量频率为不高于1/2的ADC采样频率。
6.基线调整功能,双通道可以独立调节。
7.每通道4k存储深度(为实验方便现在是512B,可以很方便的改成4k)。
8.余辉显示,显示等级可调(1~10级)。

关于发行套件:

    我会先做出样品让阿莫评估测试,阿莫认证稳定后在发行套件,我不想辜负大家的希望与对我的支持,我会努力做得更好,我保证在发套件时会给大家很大的惊喜,我指的是指标上的,希望大家耐心等待~再次感谢大家的支持!

关于转载和参考:

如有转载或其他开源项目中有参考请注明“转载(参考)来自www.OURDEV.cn‘魏坤手持示波仪开源DIY活动’”。


传一些照片,否则有些“无图无真相的感觉”:


(原文件名:交替触发.jpg)


(原文件名:测试调幅信号.jpg)


(原文件名:气泡式菜单1.jpg)


(原文件名:气泡式菜单2.jpg)


(原文件名:FFT信号来源2通道.jpg)


(原文件名:余辉显示(余辉8级).jpg)


(原文件名:总体.jpg)

出0入0汤圆

2
发表于 2009-9-30 10:51:02 | 只看该作者
重量级
先顶再看

出0入0汤圆

3
发表于 2009-9-30 10:51:47 | 只看该作者
非常感谢!

出0入0汤圆

4
发表于 2009-9-30 10:59:43 | 只看该作者
PCB是什么文件呢?用RPOTEL99打不开。

出0入21汤圆

5
 楼主| 发表于 2009-9-30 11:01:47 | 只看该作者
PCB是用DXP2008画的6.0版本,等等,我重新改改~

出0入21汤圆

6
 楼主| 发表于 2009-9-30 11:05:53 | 只看该作者
3.0ourdev_487261.rar(文件大小:41K) (原文件名:DSO_Project_PCB_3_0.rar)
4.0ourdev_487262.rar(文件大小:46K) (原文件名:DSO_Project_PCB_4_0.rar)
5.0ourdev_487263.rar(文件大小:344K) (原文件名:DSO_Project_PCB_5_0.rar)

出0入0汤圆

7
发表于 2009-9-30 11:26:57 | 只看该作者
不错,重量级资料

出0入0汤圆

8
发表于 2009-9-30 11:39:39 | 只看该作者
不错,非常感谢!

出0入0汤圆

9
发表于 2009-9-30 11:41:12 | 只看该作者
真是国庆大礼啊,可惜少了原理图。不过不要紧可以对PCB板。

出0入42汤圆

10
发表于 2009-9-30 11:43:00 | 只看该作者
没想过要做示波器,但是要顶!!!!!!!!!!!!!!

出0入0汤圆

11
发表于 2009-9-30 11:57:48 | 只看该作者
呵呵,好,开源精神值得表扬,请教楼主FPGA用的是CPU核?
头像被屏蔽

出0入0汤圆

12
发表于 2009-9-30 12:03:49 | 只看该作者
Cool !

魏同学评估一下,是否继续发行套件或成品?

可以按上次的方式交给我们邮购部帮忙发行,或者你自己直接在淘宝上发行也行 :)

出0入0汤圆

13
发表于 2009-9-30 12:04:26 | 只看该作者
支持一下。

出0入0汤圆

14
发表于 2009-9-30 12:41:32 | 只看该作者
支持一下。

出0入0汤圆

15
发表于 2009-9-30 12:42:35 | 只看该作者
支持!

出0入21汤圆

16
 楼主| 发表于 2009-9-30 12:47:51 | 只看该作者
回阿莫:

套件还不着急,等全部做完并验证稳定后再发行套件,发行还是在你的邮购部吧,谢谢你的支持!

出0入0汤圆

17
发表于 2009-9-30 12:49:34 | 只看该作者
存储深度多少点/CH

出0入0汤圆

18
发表于 2009-9-30 12:55:48 | 只看该作者
非常感谢!等套件

出0入0汤圆

19
发表于 2009-9-30 13:29:29 | 只看该作者
支持开源,套件出来一定要,另外问下模拟的程控放大和电源管理,是不是用单片机搞啊?

出0入0汤圆

20
发表于 2009-9-30 14:32:27 | 只看该作者
支持一下

出0入8汤圆

21
发表于 2009-9-30 15:16:15 | 只看该作者
支持,顶猛料

出0入0汤圆

22
发表于 2009-9-30 15:17:21 | 只看该作者
顶,mark一下! 学习学习

出0入0汤圆

23
发表于 2009-9-30 15:28:43 | 只看该作者
下来学习一下,不知道工程消耗多少FPGA的资源?如果用EP2C5是否能行,毕竟要比2C8便宜不少

出0入0汤圆

24
发表于 2009-9-30 15:33:50 | 只看该作者
专程MARK~

出0入0汤圆

25
发表于 2009-9-30 15:52:43 | 只看该作者
专业Mark.
此版发行了肯定要买了.

出0入0汤圆

26
发表于 2009-9-30 16:00:02 | 只看该作者
支持

出0入0汤圆

27
发表于 2009-9-30 16:07:22 | 只看该作者
支持下!大家国庆节快乐!

出0入0汤圆

28
发表于 2009-9-30 16:23:15 | 只看该作者
我对魏坤老兄的敬仰有如滔滔江水延绵不绝,又如黄河泛滥一发不可收拾

出0入0汤圆

29
发表于 2009-9-30 16:54:09 | 只看该作者
MARK! 支持一下!

出0入0汤圆

30
发表于 2009-9-30 17:21:46 | 只看该作者
别的不说了 牛!这差距太大了。。。

出0入0汤圆

31
发表于 2009-9-30 17:35:25 | 只看该作者
支持

出0入0汤圆

32
发表于 2009-9-30 19:04:55 | 只看该作者
重量级的资料啊
上次没赶上,这次一定定一套啊

支持

出200入0汤圆

33
发表于 2009-9-30 19:18:36 | 只看该作者
专门登上来顶一下,太强了!

出0入0汤圆

34
发表于 2009-9-30 20:37:26 | 只看该作者
此贴必火,鉴定完毕.

出0入0汤圆

35
发表于 2009-9-30 20:39:14 | 只看该作者
weijie是个mm?

dear写成了dera,不该啊

出0入0汤圆

36
发表于 2009-9-30 21:45:29 | 只看该作者
上次没赶上这个可盯一套

出0入0汤圆

37
发表于 2009-9-30 21:57:11 | 只看该作者
mark 很好很强大

出0入21汤圆

38
 楼主| 发表于 2009-9-30 22:36:56 | 只看该作者
“dear写成了dera,不该啊”当时着急了,发现后从板子上刮掉了~

出675入8汤圆

39
发表于 2009-9-30 23:26:26 | 只看该作者
mark

出0入0汤圆

40
发表于 2009-9-30 23:39:06 | 只看该作者
很好

出0入0汤圆

41
发表于 2009-10-1 00:00:06 | 只看该作者

出0入0汤圆

42
发表于 2009-10-1 01:20:56 | 只看该作者

出0入0汤圆

43
发表于 2009-10-1 01:25:58 | 只看该作者
祝大家:国庆--中秋同乐!
“kunnsd 魏坤”--收到我给你的邮件吗?请回复,谢谢!

出0入0汤圆

44
发表于 2009-10-1 07:49:06 | 只看该作者
国庆献礼作品

出0入0汤圆

45
发表于 2009-10-1 09:46:06 | 只看该作者
kunnsd 魏坤送的国庆大理呀。一定要顶,狂谢谢

出0入0汤圆

46
发表于 2009-10-1 09:48:06 | 只看该作者
不错,全力支持该项目

出0入0汤圆

47
发表于 2009-10-1 12:20:02 | 只看该作者
看看

出0入0汤圆

48
发表于 2009-10-1 12:29:12 | 只看该作者
非常的牛,不得不佩服魏坤同学的FPGA实力。
提点完善意见,能否在右边加个FFT峰值频率(或次峰值频率)的数值框,方便参考,虽然主峰值很明显是测出来的频率的数值,不过这对于分析模拟信号的噪音信号分布很有帮助的。

出0入0汤圆

49
发表于 2009-10-1 12:31:04 | 只看该作者
来晚了,支持!
国庆快乐.

出0入0汤圆

50
发表于 2009-10-1 13:30:00 | 只看该作者
支持

出0入0汤圆

51
发表于 2009-10-1 16:17:57 | 只看该作者
好东西 支持楼主

出0入0汤圆

52
发表于 2009-10-1 17:06:18 | 只看该作者
mark 好东西

出0入0汤圆

53
发表于 2009-10-1 17:32:39 | 只看该作者
好东西 强烈支持

出0入0汤圆

54
发表于 2009-10-1 17:32:53 | 只看该作者
好东西 强烈支持

出0入0汤圆

55
发表于 2009-10-1 19:35:32 | 只看该作者
终于等到了,强烈支持,继续关注

出0入0汤圆

56
发表于 2009-10-1 19:55:05 | 只看该作者
非常不错,出套件想订一套!

出0入0汤圆

57
发表于 2009-10-1 20:56:23 | 只看该作者
支持,等待发行

出0入0汤圆

58
发表于 2009-10-1 20:58:34 | 只看该作者
支持,好像在电赛初评名单上看到魏坤的名字了

出0入0汤圆

59
发表于 2009-10-2 11:23:39 | 只看该作者
期待套件

出0入0汤圆

60
发表于 2009-10-2 12:09:40 | 只看该作者
感叹中!

出0入0汤圆

61
发表于 2009-10-2 15:36:10 | 只看该作者
支持这么好的资料。

出0入0汤圆

62
发表于 2009-10-2 21:26:04 | 只看该作者
-终于出来了 等的我花儿也谢了 ,支持 期待套件

出0入0汤圆

63
发表于 2009-10-2 22:18:24 | 只看该作者
这个屏是用哪种?

出0入0汤圆

64
发表于 2009-10-3 02:10:35 | 只看该作者

手持示波器用的3.2寸苏州佳永的控制器是ILI9320的液晶 (原文件名:手持示波器3.2寸苏州佳永的控制器IL9320的液晶元件3.jpg)
请问搂主——kunnsd 魏坤:“你这个触摸屏液晶和我这个控制器是ILI9320的触摸屏的37Pin针脚软排线的液晶用在这一版的双通道手持示波器中的源码是通用的吗?”

出0入0汤圆

65
发表于 2009-10-3 11:48:44 | 只看该作者
请问一下,晶振采用的是哪种类型的?在设计高速ADC采样时,对时钟抖动要求比较严格,不知道魏坤是否考虑了这个因素?

出0入0汤圆

66
发表于 2009-10-3 12:28:01 | 只看该作者
支持好资料!

出0入0汤圆

67
发表于 2009-10-3 12:28:49 | 只看该作者
非常好,全力支持该项目

出0入8汤圆

68
发表于 2009-10-3 14:52:38 | 只看该作者
这样的帖子是一定要顶的,支持魏坤的开源项目,超级狂顶!!!!

出0入0汤圆

69
发表于 2009-10-3 21:57:40 | 只看该作者
如果能像逻辑分析仪那样做成虚拟仪器就好了。

出0入0汤圆

70
发表于 2009-10-3 22:47:55 | 只看该作者
要是能把 示波器和逻辑分析仪 集成在一起就更好了

出0入0汤圆

71
发表于 2009-10-4 05:00:48 | 只看该作者
能连接电脑当虚拟示波器用也不错啊!
可以插U盘或者SD卡保存波形图片就更爽了!
加入万用表电路还可以弄成万用表!
期待套件中。。。。。

出0入0汤圆

72
发表于 2009-10-4 08:19:48 | 只看该作者
71楼,瓶颈在你保存数据的速度跟不上采集速度,如果不用快速的FPGA+SDRAM,最多只能存储比FIFO或是SRAM容量的

出0入0汤圆

73
发表于 2009-10-4 09:30:15 | 只看该作者
示波器早就有了,还是有种购买的冲动,大力顶!

出0入21汤圆

74
 楼主| 发表于 2009-10-4 13:47:48 | 只看该作者
我也想过做成虚拟仪器,但我认为虚拟仪器的通信太慢实时性不好,我一直在努力提高实时性,减少死区时间,所以我暂时还不考虑虚拟仪器~

出0入0汤圆

75
发表于 2009-10-4 14:07:51 | 只看该作者
74楼:我们做控制的,开发中感觉存储深度是更重要的,因为观察的信号往往是非重复的脉冲串,从你图片看,你也用了安捷伦的MSO系列,你开发中应当对深度有感受的

出0入0汤圆

76
发表于 2009-10-4 14:10:17 | 只看该作者
请问搂主——kunnsd 魏坤:“你这个触摸屏液晶和我现成这个控制器是ILI9320的触摸屏的37Pin针脚软排线的液晶用在这一版的双通道手持示波器中的源码是通用的吗?”以及用在第一版的“单通道手持示波器中的源码是通用的吗?”(相关问题请查阅搂主——kunnsd 你的邮箱)。谢谢~

出0入21汤圆

77
 楼主| 发表于 2009-10-4 21:04:34 | 只看该作者
回楼上,我这几天在家,上网不方便,回复都是用手机的,没能看邮件抱歉,回学校回复你。
9320的驱动是一样的可以通用。

出0入0汤圆

78
发表于 2009-10-4 21:05:40 | 只看该作者
强烈的顶

出0入0汤圆

79
发表于 2009-10-4 22:55:57 | 只看该作者
虚拟示波器也有好处,手持式示波表方便,
要是示波器也能把即时波形内容传到电脑保存那就更方便了,写SD卡或者写U盘估计麻烦点,
传到电脑应该不难吧?比如232串口,并口,USB(可以把USBASP的那种通信搞进去),
我弄模拟示波器最头痛的就是保存波形和人家讨论用(还没钱买数字的,等你的作品出来后拿来边用边改),
模拟的一边测着信号一边拍照麻烦死了,还看不清!

出0入0汤圆

80
发表于 2009-10-5 00:12:16 | 只看该作者
支持

出0入0汤圆

81
发表于 2009-10-5 09:04:28 | 只看该作者
最好能有接口.只要能传送数据,这样我们DIY的再加个转换板,转成USB等就可以成虚拟...

出0入0汤圆

82
发表于 2009-10-5 16:49:35 | 只看该作者
mark

出0入0汤圆

83
发表于 2009-10-5 19:27:15 | 只看该作者
太牛了!MARK

出0入0汤圆

84
发表于 2009-10-6 14:20:14 | 只看该作者
期待套件

出0入0汤圆

85
发表于 2009-10-6 14:38:55 | 只看该作者
看了魏坤同学的FPGA工程,觉得我还得加油了!!!

出0入0汤圆

86
发表于 2009-10-6 14:43:36 | 只看该作者
这个要顶了

出0入0汤圆

87
发表于 2009-10-6 15:09:15 | 只看该作者
厉害!越来越强大了!

出0入0汤圆

88
发表于 2009-10-6 15:30:24 | 只看该作者
看了半天看不太懂,能写个教程吗?

出0入0汤圆

89
发表于 2009-10-6 16:01:02 | 只看该作者
接着等待!

出0入0汤圆

90
发表于 2009-10-6 16:30:34 | 只看该作者
待套件
头像被屏蔽

出0入0汤圆

91
发表于 2009-10-6 22:53:58 | 只看该作者
等待套件早日出‘炉’!

出0入4汤圆

92
发表于 2009-10-6 23:14:24 | 只看该作者

出0入0汤圆

93
发表于 2009-10-9 00:49:41 | 只看该作者
希望看到原理图啊

出0入0汤圆

94
发表于 2009-10-9 08:10:50 | 只看该作者
太绚了!!很期待套件啊!!!!

出0入0汤圆

95
发表于 2009-10-9 13:42:44 | 只看该作者
强贴留名

出0入0汤圆

96
发表于 2009-10-9 15:33:13 | 只看该作者
超级牛人,顶起

出0入0汤圆

97
发表于 2009-10-9 16:40:21 | 只看该作者
好啊,牛人一个

出0入0汤圆

98
发表于 2009-10-9 16:42:36 | 只看该作者
希望  魏坤  用等效采样啊   这样只是软件上做些改动,硬件也要改一下  但是可能看到几个GHz的信号!

这太好了

不知道我的想法是不是有根据

出0入0汤圆

99
发表于 2009-10-9 19:00:16 | 只看该作者
顶!

出0入0汤圆

100
发表于 2009-10-9 20:19:18 | 只看该作者
衷心的说一句,牛B!
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-4-19 19:07

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表