搜索
bottom↓
楼主: cznlpy

开源的Altera、Xilinx、Lattice三合一USB下载线★火爆、★炫耀、★讨论中!

  [复制链接]

出0入0汤圆

发表于 2009-12-11 23:17:13 | 显示全部楼层
对的,第一期可以先不考虑这个,先把平台搭好,反正IO都是可配置的,以后再
仔细讨论接插件的设计,一次就把什么都做好是很难或者说几乎不可能的。

FPGA我建议先用个大点的,以后再换小也可以,时刻注意成本即可。
存储器用NAND,32MB的就非常足够了。可以接上SD卡插座。

USB接口芯片,我也在犹豫了……

出0入0汤圆

发表于 2009-12-11 23:33:23 | 显示全部楼层
to 【291楼】 minux 啊啊?
FPGA怎么选?XC3S250E,按上面的讨论来看,肯定足够了,TQ144封装如何,IO数应该够用了,以后压缩成本的话可以改用同封装的100E
价格估计40块左右吧
E系列比较讨厌的是要三组电源(除非IO都用2.5V电平),A系列虽然两组电源就行了,但粗看一下貌似没见到合适的封装,VQ100担心不够用,BGA基本不在考虑之列(一会儿仔细核对一下手册)

Altera家族的我完全不了解

出0入0汤圆

发表于 2009-12-11 23:49:51 | 显示全部楼层
嗯,单考虑Xilinx的器件,如果是优化逻辑的话,还是3E比较好。
如果想用Altera的器件,建议Cyclone 3,性能比S3E稍强些。
但是小声说,我不喜欢Cyclone,LE的用法不如Xilinx FPGA的灵活,然后设计register file的时候就会发现不得不用RAM资源,
S3(A/E)就能直接用2R1W的LUT-RAM。

S3A确实没办法用,封装太受限,而且逻辑性能似乎还不如S3呢。

用S3E,然后IO用2.5V我倒是没考虑过,得核实下,电平转换那边没问题,就看Cy7c680xx了。

S6出了,我估计能在1月搞到试试,单看ISE给的性能参数,是很强的(逼近Statix 2的性能了),但是也是封装受限,容量受限,
ALtera和Xilinx怎么不跟人家Actel学学,低端FPGA,不管多大的容量,都有PQ208的封装啊……

单看封装,144的可能最好,要是用208,布线上恐怕有些麻烦。

跟Cy7c680xx接口的IO估计最多需要32根(但我没细算),接口那边,每个IO至少2根,如果考虑
GND/VCC-out则需要多1-2根,所以光接口部分就得至少32根。
剩余的NAND接口几乎可以和680xx的复用,剩下就是给人机接口的IO,那个还得考虑下,
但是144估计是够了。

出0入0汤圆

发表于 2009-12-12 00:00:48 | 显示全部楼层
to 【293楼】 minux 啊啊?
如果用S6的话,有些担心供货,也不太清楚价格
目前来看 XC3S250E -4TQG144C 可以作为1号备选

出0入0汤圆

发表于 2009-12-12 00:15:07 | 显示全部楼层
看了下手册
680xx只能工作在3V-3.6V,但
VIH(min)=2V
VOH(min)=2.4V

XC3SxxE的
VOH(min)=2.3V (VCCIO=2.5V)
VIH(max)=4.4V

不过下面有几句话
Each of the User I/O and Dual-Purpose pins is associated with one of the four banks’ VCCO rails. Keeping VIN within 500 mV of the associated VCCO rails or ground rail ensures that the internal diode junctions do not turn on. Table 76 specifies the VCCO range used to evaluate the maximum VIN voltage.

Input voltages outside the -0.5V to VCCO + 0.5V voltage range are permissible provided that the IIK input diode clamp diode rating is met and no more than 100 pins exceed the range simultaneously.

为了安全,考虑680xx电压最高(3.6V),XC3SxxE电压最低(2.3V)时,每个引脚上的反灌电流不超过10mA,需要串个82R电阻

但问题又来了,如果用1117这种稳压器的话,估计扛不住反灌电流,会使2.5V电源电压升高,就要再考虑加箝位,或者吸收电流的措施等等,好麻烦
还是用三组电源好了……

出0入0汤圆

发表于 2009-12-12 22:57:49 | 显示全部楼层
剩下的关键问题还没有解决,680xx

出0入0汤圆

发表于 2009-12-14 00:42:17 | 显示全部楼层
关于680xx的问题,怎么说呢,我比较纠结:
1,68013-128的价格比68033低
2,68013-128需要外部32KB RAM,68033必须外接一片NAND Flash
3,68013-128 IO非常丰富,68033的IO就非常少了,尤其是他的Flash片选使用PORTD,也就是不能用16位接口
4,68033不支持IFCLK和CLKOUT,也就是不能支持同步接口……

其实,使用68013a-128的话,也用不着那么多IO。。。。所以又觉得有点浪费……

那还是用68013a吧,成本之类的考虑确实比较重要。

出0入0汤圆

发表于 2009-12-14 00:59:31 | 显示全部楼层
to 【297楼】 minux 啊啊?
那就先按68013考虑吧,不知dr2001有什么看法

数一下主要器件:
CY7C68013-128AXC
IS61LV256AL-20 (TSOP28)
XC3S250E-4TQG144C

剩下的问题,启动顺序
方案A:68013先启动,然后用68013配置FPGA(可能是首选)
方案B:FPGA先启动,在SRAM中加载固件后启动68013

出0入0汤圆

发表于 2009-12-14 01:33:00 | 显示全部楼层
如果FPGA也要访问SRAM,那么由于68013a至少其地址线是不能三态的(cypress网站上有人提问过这个,回答就是这么说的),
所以需要两套连接才行,这样FPGA的管脚数肯定不够。
当然,你如果给他加三态驱动器,倒是可行,不过电路复杂太多了,有些不值得似乎。

出0入0汤圆

发表于 2009-12-14 01:41:43 | 显示全部楼层
to 【299楼】 minux 啊啊?
这样的话还是68013先启动吧,FPGA控制EA

这样的话方案基本上可以初步敲定
简述一下启动流程,看看有没有问题
上电,68013从EEPROM中加载程序
68013读取SPI Flash(或者SD Card等),给FPGA加载固件
68013以Mass Storage枚举
与用户进行交互/检测目标板插入
重载固件,重枚举,切换EA=1

出0入0汤圆

发表于 2009-12-14 03:19:13 | 显示全部楼层
我觉得没问题了,不过切换EA=1的时候我们应该用哪种方案?

出0入0汤圆

发表于 2009-12-14 08:48:28 | 显示全部楼层
都是高手,学习了

出0入0汤圆

发表于 2009-12-14 09:15:05 | 显示全部楼层
我支持h2feo4在298和300楼提出的选型方案,启动流程。

切换EA使用特殊代码段,在片外的SRAM执行时完成切换。
由于CLKOUT是可以给出时钟的,在最低的工作频率下,完全可以对时序。完成。

出0入0汤圆

发表于 2009-12-14 10:32:32 | 显示全部楼层
我觉得,要做这么多的事情,首先要确定的是可行性.
我不是怀疑做不出来,而是觉得要把更多的精力放在更重要的地方
应该多花点人力去分析代码,25K的hex代码,一个人去搞反汇编和分析,大家都等他的结果?
是不是太那个了一点?

对这个项目来说,软件的设计是重中之重
另外,直接写软件插件实现兼容也是一条路子,可以多方试探,看谁做得更好

出0入0汤圆

发表于 2009-12-14 10:47:28 | 显示全部楼层
这个前边已经有一些讨论。

分析协议分两步:一个是FW的反向工程,这是纯软件的工作;另外就是结合FW的反向结果,结合硬件完成协议分析验证。不过,假设有人分析好了,然后呢?

这里一定需要有硬件平台,方便,统一的软件验证平台,用来进行验证和测试;反过来,没有平台,不一定会有人愿意去进行分析工作。

出0入0汤圆

发表于 2009-12-14 13:24:07 | 显示全部楼层
再提一个
要不要使用SD切换装置
如果使用SD卡的话(如果使用NAND Flash的话请无视下面的内容)

因为68013没有SPI/SDIO硬件,故访问SD卡只能使用IO模拟,恐怕速度较慢
在FPGA加载后,如果在FPGA中放一个SDIO的接口电路,这样就可以用很快速度访问了
这之间就涉及SD接口的切换
切换方案:
方案A:串联电阻
方案B:模拟开关切换
方案C:廉价CPLD切换

或者,在廉价CPLD中放一个简易8bit-SPI接口电路?

出0入0汤圆

发表于 2009-12-14 15:38:29 | 显示全部楼层
NAND的算法比较复杂,不过Cypress有实例,如果我们需要大于8MB的存储,那就必须用它了。
SD卡可以增加,但是最好别作为必需的,我觉得单独弄个廉价CPLD最好。
如果用SPI Flash,最好也是弄个CPLD做接口,不然也太慢了。

至于切换EA的问题,在外部RAM执行的时候切换可能性较大,
那就把它接在一个IO上,同时为了保险,可以再接到CPLD上,这
样即使FPGA没配置也可以切换。
这样也可以把FPGA省出来做别的,并充分利用CPLD。

CPLD选max3000系列还是coolrunner 2呢?
44脚的应该就够用,所以MAX II就出局了。

出0入0汤圆

发表于 2009-12-14 16:01:15 | 显示全部楼层
to 【307楼】 minux 啊啊?
XC3S250E固件有1.3Mb大,8MB够装49个,够用一段时间了。脱机烧写的目标代码也能装一些
如果上NAND Flash的话,配置FPGA也应该用Slave Parallel模式,要不然这边又是个瓶颈

CPLD要说廉价的话,XC9536XL估计最便宜了吧
虽然XC2C32也差不多,但是又要多准备一组1.8V电源,反而麻烦

MAXxxxx不了解,我平时一般都用Xilinx……

出0入0汤圆

发表于 2009-12-14 16:53:05 | 显示全部楼层
如果68013的固件30KB,FPGA中软核的固件60KB,FPGA配置信息170KB
总共大概256KB,8MB Flash装32组,这样划分应该不错

出0入0汤圆

发表于 2009-12-14 17:21:27 | 显示全部楼层
硬件这样连接吧,让CPLD直接通过SelectMAP(Slave Parallel)配置FPGA,
既然是SPI Flash,那么只要cy7c68013a给了起始地址,那就不用68013a参与了,
反正这个时序也是简单,而且selectmap的管脚还可以同时接在68013a上,
这三者平时都可以通过这个8位通道通信(有两个主,一个是68013a,一个是
CPLD)。

恩,我刚才仔细一想max3000和coolrunner2都不太好,前者可擦写的次数太少了(100),
不适合做实验用;后者要加一组1V8电源。

xc9500系列,如果我们不希望用更大的封装,那只有9536可用了吧?
PLCC封装似乎会比QFP贵……
我在想36个macrocell是不是够……

出0入0汤圆

发表于 2009-12-14 17:31:41 | 显示全部楼层
to 【310楼】 minux 啊啊?
XC9572XL也有VQ44(Very Thin QFP)封装,这样应该够了吧

出0入0汤圆

发表于 2009-12-14 17:41:03 | 显示全部楼层
另外一点啊,xc9500需要的4.75-5.25V的Vccint,按照USB标准,Vbus的电压最低可以到4.40V;
虽然high-power port恰好可以提供4.75-5.25V的Vbus,但是注意Vbus和GND还各有最大125mV的压降……
这个有点问题……

当然,很多情况下,我们的设备应该是可以正常工作的,不过可能会稍微有点对于USB口有点挑剔。

出0入0汤圆

发表于 2009-12-14 17:43:12 | 显示全部楼层
to 【310楼】 minux 啊啊?
9500系列分为3个电压等级
9500是5V
9500XL是3.3V
9500XV是2.5V
我之前一直说的是XL,即3.3V电压的
而且,貌似5V的比3.3V的更贵

出0入0汤圆

发表于 2009-12-14 17:44:13 | 显示全部楼层
en, xc9572xl就好了,没有上述问题了。
我一直看错成9500系列了……

出0入0汤圆

发表于 2009-12-14 18:10:10 | 显示全部楼层
为了配置方便,FPGA位流和68013a固件应该在Flash中连续存放,
如果做成mass storage让上位机管理,这个要求估计很难达到。

所以,可能我们还得适当分配下空间。
一片M25P128,比如前一半用于上述位流和固件,后一半用FAT12文件系统,
用于存放用户的信息。更多的用户信息,放到SD卡里面。

8MB用户空间,一般性的应用已经非常足够了。
其余空间的更新,可以另外想个办法。

出0入0汤圆

发表于 2009-12-14 18:23:12 | 显示全部楼层
to 【315楼】 minux 啊啊?
同意这种分配方案
至于非文件系统部分的更新
能不能把它映射成文件系统中的某个特殊文件?
当写入时检查文件头“Magic Number”是否正确,如果正确则通过,这样可以很大程度上防止误操作覆盖固件

出0入0汤圆

发表于 2009-12-14 18:38:04 | 显示全部楼层
ft... SPI Flash不太适合做mass storage设备...
sector size太大了…… 如果不符合文件系统的要求的话,我们必须得有足够大的RAM来保存
一个sector里面里的其他数据,然后再全擦除之……

M25P128,2Mbits/sector; M25P64,512Kbits/sector。

这么小的文件系统又一般不用FAT16,FAT16倒是最大可以用64KB的扇区,但是从来没试过,
不知道操作系统支持如何。

所以,似乎直接让系统操作拷贝文件进去是个很难支持的操作,除非用NAND。

不过,倒是可以这样,把操作的软件放到一个比如说1MB的静态FAT12分区中,由该软件直接
操作Flash,也就是实际只是借用下mass storage class来做到免驱动而已。
可是这样操作系统兼容性又是问题了……

出0入0汤圆

发表于 2009-12-14 18:41:18 | 显示全部楼层
to 【317楼】 minux 啊啊?
我不清楚M25Pxxx如何
但貌似AT45DBxxx是可以的,一般片内有两组Buffer
读出一页放在buffer中,修改其中一部分再写回去是没有问题的(稍后核实一下)

出0入0汤圆

发表于 2009-12-14 18:51:39 | 显示全部楼层
搜了一下,貌似64Mb的SPI Flash的价格已经超过不少NAND Flash了……

出0入0汤圆

发表于 2009-12-14 18:51:59 | 显示全部楼层
M25PX系列倒是可用,4KB的sub-sector,最大容量64Mbits。
但是不知道供货和价格情况如何……

Atmel的AT45DB642D倒是也可以,可以1KB为单位擦除,最大容量64Mbits。
这个肯定有供货,价格应该和M25P128差不多。

因此,我认为我们可以充分利用这点,也就是,文件系统放在AT45DB642D上面,
用FAT12。
我们自己的固件和位流放在M25P64上,因为它一般是不会改很小的一部分的,
所以一次擦除512kbits的扇区没啥问题,同时价格便宜。

出0入0汤圆

发表于 2009-12-14 18:55:28 | 显示全部楼层
用NAND肯定便宜,但是,它的固有问题是你要自己管理坏块和重新映射逻辑块到物理块;
用NAND在别的方面肯定是省事儿多了,而且还是并行口,我们不需要CPLD为他转换接口和
时序。

出0入0汤圆

发表于 2009-12-14 19:03:45 | 显示全部楼层
ft 估计最后发现,还是用microSD卡最合适……
容量超大,不用管理坏块……

如果用TF卡的话,可以这样,配一片M25P64(容量、型号可以再商榷),
用来存储非常重要的固件和位流;
用户数据都存放在TF卡上,这么搞脱机编程器估计是个方案。

至于系统更新,如果一定要用上位机存放文件的方式,那就用
AT45DB系列吧,把特殊文件映射过去……

ft 这样必须还得支持读FAT32,幸好给68013a扩展了RAM,不然肯定没戏。

出0入0汤圆

发表于 2009-12-14 19:31:44 | 显示全部楼层
to 【322楼】 minux 啊啊?
干脆把NAND Flash/SPI Flash/CPLD/TF_Slot的位置都留出来
到时候想焊哪个焊哪个就好了

出0入0汤圆

发表于 2009-12-14 19:53:44 | 显示全部楼层
大哥们……硬件是不是搞的太复杂了,再弄弄就成了开发板了都。

出0入0汤圆

发表于 2009-12-14 20:26:10 | 显示全部楼层
那dr2001的意思是?

出0入0汤圆

发表于 2009-12-14 20:33:13 | 显示全部楼层
看来是 越来越离谱了

出0入0汤圆

发表于 2009-12-14 20:43:14 | 显示全部楼层
to 【326楼】 itelectron

您这是什么意思?

出0入0汤圆

发表于 2009-12-14 20:44:12 | 显示全部楼层
我觉得参与讨论的人太少了有点,应该赶紧申请网站开源项目……
可是lz最近怎么都不出现了……

出0入0汤圆

发表于 2009-12-14 20:45:49 | 显示全部楼层
to 【328楼】 minux 啊啊?
先决定好项目名称吧

出0入0汤圆

 楼主| 发表于 2009-12-14 20:57:08 | 显示全部楼层
To:【328楼】 minux 啊啊?

我回来了。。。。。

最近在忙了其他的测试项目。

出0入0汤圆

 楼主| 发表于 2009-12-14 21:04:59 | 显示全部楼层
现在参加讨论的人的确很少,只有minux 啊啊?、h2feo4 无机酸、dr2001几位前辈。。。

后期的讨论我将参加,尤其是先做一批定型的板卡,我负责出上述几位的硬件和制版费用以及焊接。
看看minux 啊啊?、h2feo4 无机酸、dr2001几位前辈我们将怎样分工。

正如【304楼】 xiaobenyi兄弟所说,后期固件方面的重点应该是和谐X*i*l*i*n*x和L*a*t*t*i*c*e的hex文件。

出0入0汤圆

发表于 2009-12-14 21:31:45 | 显示全部楼层
To 【325楼】 minux
不好意思,后来我没认真看完所有的帖子,没有完全理解您和无机酸的意思。

如果说先出一版复杂版,供测试/调试/资源分配,我没有意见;如果设计目标就是精简的话,现在的方案是不是过于复杂了?

最小可用的话,我倾向于只有68013(或者类似芯片,68033手册我没看-_-!),一个略大的IIC,FPGA,SRAM,NAND/SD/SPI Flash这样的配置。不要芯片太多了。IO那边的配置方案另说。

我倾向的启动顺序:
68013 IIC启动,IIC Load一个最小ROM,这时候的USB,不理他,到时候重新配置就行了。
最小ROM,从IIC Load FPGA固件,选配简单的解压缩算法,配置FPGA。
最小ROM支持FAT,从NAND/SD/SPI中Load真正的FW到SRAM,切换EA/跳转。
这时候的SRAM的固件,才开始枚举Mass Storage,连接主机。

之后的行为:
上位机下发新固件:发送指令,切换回内部SRAM,0xA0运行,Blabla;重配置FPGA,可以通过固件直接操作。
下位自主Load固件:每次都是关闭USB,切回内部SRAM,ReLoad FPGA,Load 新固件到SRAM,切到新固件。

简要分析:
好处:需要的芯片比较少,硬件成本低。
坏处:软件工作量大,需要撰写多个特别的跳转程序,完成各种程序加载工作。

供参考。

出0入0汤圆

发表于 2009-12-14 21:44:25 | 显示全部楼层
那 cznlpy SOPC创业联盟 同意不同意申请个网站开源项目呢?
我们的理想很远大,可是估计人手少了点……

但是项目的名字确实是个比较棘手的问题。
我提议:
universal jtag(isp) 或者 multijtag.

出0入0汤圆

发表于 2009-12-14 22:06:23 | 显示全部楼层
娱乐一个名字:
CP.LD.FPGA is Common Protocols supported, Less Driver needed, Flexible Portable Generic Adaptor.

出0入0汤圆

 楼主| 发表于 2009-12-14 22:14:34 | 显示全部楼层
仅仅是希望大家低调点搞这些FPGA/CPLD下载线!

真心不希望像和谐J*L*i*n*k那样满大街都是,并且开源的已经白菜价格。。。现在的市场已经一片混乱了。。。

出0入0汤圆

发表于 2009-12-14 22:53:45 | 显示全部楼层
……

出0入0汤圆

发表于 2009-12-14 23:51:30 | 显示全部楼层
呃……

出0入0汤圆

 楼主| 发表于 2009-12-16 02:20:47 | 显示全部楼层
飘过。。。

出0入18汤圆

发表于 2009-12-16 17:57:15 | 显示全部楼层
路过
!!!

出0入0汤圆

发表于 2009-12-16 23:01:03 | 显示全部楼层
万丈高楼

出0入0汤圆

发表于 2009-12-17 10:08:00 | 显示全部楼层
COOL

出0入0汤圆

发表于 2009-12-17 23:28:08 | 显示全部楼层
好东西!

出0入0汤圆

发表于 2009-12-18 00:21:10 | 显示全部楼层
这个牛帖才刚刚看见,以后要关注……

出0入0汤圆

发表于 2009-12-18 14:03:48 | 显示全部楼层
关注中

出0入0汤圆

发表于 2009-12-19 20:06:06 | 显示全部楼层
有没有考虑过AVR32UC3A3x:HS USB (EP0~7),SSC+SPI,可单芯片实现,可替换STM32F(除了EP地址固定、价格较高)。

出0入0汤圆

发表于 2009-12-28 10:33:23 | 显示全部楼层
关注。。。

出0入0汤圆

发表于 2009-12-30 15:07:09 | 显示全部楼层
mark!

出0入0汤圆

发表于 2009-12-30 18:09:53 | 显示全部楼层
哦,看来又会有jlink风暴了

出0入0汤圆

发表于 2010-1-7 16:54:20 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-1-8 11:52:08 | 显示全部楼层
好帖,仔细学习研究

出0入0汤圆

发表于 2010-1-15 18:05:32 | 显示全部楼层
urjtag 的源码中有 xilinx usb cable 的通信协议。
urjtag-0.10\src\tap\cable\xpc.c

出0入0汤圆

发表于 2010-1-21 20:02:47 | 显示全部楼层
还没有结果啊!!

出0入0汤圆

发表于 2010-1-21 20:22:45 | 显示全部楼层
这个。。耐心点吧  从无到有的东西 肯定有事研发周期的

LS嫌慢自己整整?

出0入0汤圆

发表于 2010-1-22 22:04:22 | 显示全部楼层
关注中...

出0入0汤圆

发表于 2010-1-23 21:35:14 | 显示全部楼层
MARK

出0入0汤圆

发表于 2010-1-25 10:27:00 | 显示全部楼层
路过

出0入0汤圆

发表于 2010-1-25 10:51:58 | 显示全部楼层
给我们讲讲xilinx 的工作原理吧,还有68013a 里面的c程序 ,发上来研究一下啊 ,还有个问题是SN74HC244 这一部分的电路没看懂啊,能解释一下吗????

出0入0汤圆

发表于 2010-1-25 17:52:02 | 显示全部楼层
好贴!!!

出0入0汤圆

发表于 2010-1-25 18:32:09 | 显示全部楼层
请问楼主,用CY7C68013做的下载线源代码怎么改,我试过不可以用

出0入0汤圆

发表于 2010-2-5 23:25:13 | 显示全部楼层
记号

出0入0汤圆

发表于 2010-2-5 23:45:15 | 显示全部楼层
qq

出0入0汤圆

发表于 2010-2-5 23:59:10 | 显示全部楼层
mark,以后学习

出0入0汤圆

发表于 2010-2-6 12:49:16 | 显示全部楼层
标记一个

出0入0汤圆

发表于 2010-2-6 15:17:47 | 显示全部楼层
在淘宝上已经见到跳线切换的ALtera和XILINX USB下载线了。卖200多。
结构不详

出0入0汤圆

发表于 2010-2-12 11:07:56 | 显示全部楼层
MARK

出0入0汤圆

发表于 2010-2-17 14:02:36 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-2-17 18:26:52 | 显示全部楼层
MARK

出0入0汤圆

发表于 2010-2-23 17:22:28 | 显示全部楼层
这里牛人真的是多得让人汗颜啊!
要是做成了万能的调试/下载工具,适应那么多种目标器件......
真是眼花缭乱!

出0入0汤圆

发表于 2010-2-26 16:40:53 | 显示全部楼层
做成USB转并口,然后所有下载线通吃,就是速度慢了点
还有USB不支持硬件中断,转出来的并口不好用,这点有点麻烦.

出0入0汤圆

发表于 2010-2-27 16:47:06 | 显示全部楼层
非常适用

出0入0汤圆

发表于 2010-3-1 10:16:24 | 显示全部楼层
关注中..........

出0入0汤圆

发表于 2010-3-3 19:10:54 | 显示全部楼层
楼主淘宝店的Altera下载线涨到98了?

出0入0汤圆

发表于 2010-3-4 14:51:46 | 显示全部楼层
关注中..........

出0入0汤圆

发表于 2010-3-10 11:30:57 | 显示全部楼层
顶上去

出0入0汤圆

发表于 2010-3-12 14:33:03 | 显示全部楼层
呵呵, 我用自己的板跟LZ的下载线交换, 感觉这下载线做的不错, 做工也很棒, 向大家推荐

出0入0汤圆

发表于 2010-3-17 10:36:55 | 显示全部楼层
怎么TAOBAO上和这里的价格不一样啊?变化这么大啊。

出0入0汤圆

发表于 2010-3-19 01:39:13 | 显示全部楼层
回复【374楼】crom
楼主淘宝店的Altera下载线涨到98了?
-----------------------------------------------------------------------

是198个大洋吧

出0入0汤圆

发表于 2010-3-19 19:49:12 | 显示全部楼层
楼主老牛比了

出0入0汤圆

发表于 2010-4-1 20:28:25 | 显示全部楼层
不得不佩服

出0入59汤圆

发表于 2010-4-2 09:55:22 | 显示全部楼层
牛~

出0入0汤圆

发表于 2010-4-3 13:00:19 | 显示全部楼层
等着买3 in 1 了! 大家加油啊!!!能透漏一下大约什么时候供货吗?

出0入0汤圆

发表于 2010-4-7 17:27:30 | 显示全部楼层
MARK!有空自己DIY一个用!

出0入85汤圆

发表于 2010-4-7 18:57:33 | 显示全部楼层
我也想自己做一个用

出0入0汤圆

发表于 2010-4-8 10:59:33 | 显示全部楼层
回复【35楼】cznlpy SOPC创业联盟
-----------------------------------------------------------------------
回复【366楼】ngzhang 兽哥
在淘宝上已经见到跳线切换的ALtera和XILINX USB下载线了。卖200多。
结构不详
-----------------------------------------------------------------------

等楼主的大作呢,还是买个不知底细的???
我至少用到ALtera和XILINX两家的,请众神指点迷津啊!!!

出0入0汤圆

发表于 2010-4-8 12:27:46 | 显示全部楼层
楼主有没有进展啊

出0入0汤圆

发表于 2010-4-8 17:33:04 | 显示全部楼层
关注中!

出0入0汤圆

发表于 2010-4-9 14:45:23 | 显示全部楼层
牛人请指点:
    用SDCC编译fx2.old后的程序下载到7c68013a中后,提示找到USB-Blaster,安装QUARTUS驱动成功。但在QUARTUS6.0下载窗口中,一旦点选Hardware setup,就会蓝屏。
     我用的版本为usb_jtag-20080705-1200。
     ?

出0入0汤圆

发表于 2010-4-13 10:27:26 | 显示全部楼层
Digilent 的 Genesys Virtex-5 FPGA Development Kit 开发板用了 CY7C68013A-56 芯片来做 xilinx 下载线。

出0入0汤圆

发表于 2010-4-23 21:39:00 | 显示全部楼层
楼主的Xilinx Platform Cable USB下载线还有吗?我想买一个,怎么联系你呀,你在淘宝卖吗?我QQ279625753,加我联系吧!

出0入0汤圆

发表于 2010-4-23 21:52:47 | 显示全部楼层
有知道楼主联系方式的吗?知道的告诉我下吧,谢谢了!
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-4-24 04:32

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表