搜索
bottom↓
楼主: multijtager

multijtager(基于FPGA的多功能JTAG调试/下载接口)开源项目倡议与讨论

[复制链接]

出0入0汤圆

发表于 2010-5-24 09:34:45 | 显示全部楼层
双RAM怎么样?

出0入0汤圆

发表于 2010-5-24 11:02:30 | 显示全部楼层
回复【690楼】kk2614755
-----------------------------------------------------------------------

双RAM?有什么用吗
目前RAM已经是16bit宽度了

出0入0汤圆

发表于 2010-5-24 14:11:26 | 显示全部楼层
to minux

关于JTAG
确认一下连接方式是否正确:
TDO = MISO + RD
TDI = MOSI
TCK = SPCK + TK + RK
TMS = TD + nPCS0

另外问一下,MCU板上要不要为JTAG加本地loopback?方便测试,以及在没有子板插入时保证链路完整

出0入0汤圆

发表于 2010-5-24 16:49:15 | 显示全部楼层
【692楼】 h2feo4 无机酸

恩,得加本地loopback,就是说我们的所有板子都要像609楼那样保证即使后面不接板子JTAG链也不断开。

TDI = TD
TDO = MISO + RD
TMS = MOSI
TCK = SPCK + TK + RK (这三者需要用两个0ohm电阻分开),同时加一个默认不装的0ohm电阻到任意一个SCK(增加驱动)
另外,我们的JTAG信号是不是得加一个驱动器(尤其是TCK和TMS)。

TMS接nPS0是做什么用的啊?

出0入0汤圆

发表于 2010-5-24 17:01:33 | 显示全部楼层
电源部分,找了半天,封装较小的没有那么大电流的……
LM3671最大600mA, SOT23-5的封装,2.2uH电感,如何?可以用国产的HX1002-AE直接替换,在淘宝上国产
LM3671的价格还可以接受。

我们按照ADJ版本画,然后如果到时候买到了固定3.3V输出的,只焊接一个反馈电阻即可。

出0入20汤圆

发表于 2010-5-24 17:35:35 | 显示全部楼层
晕,台湾的RT8008,也是SOT23-5的,只要1块钱.
与LTC3406封装是兼容的.


(原文件名:TOP_BIGA.jpg)

看我左侧的,就是用这个.

出0入0汤圆

发表于 2010-5-24 18:29:32 | 显示全部楼层
回复【693楼】minux 啊啊?
-----------------------------------------------------------------------

我是这么处理的:
一个四位排阻封装
应该可以实现我们之前讨论过的所有功能


(原文件名:20100524A.PNG)

引出nPCS0的目的是,可以独立使用SPI驱动JTAG链(不过目前来看SPI工作在slave模式,要实现这个目的话最好是工作在master模式)
另外,如果不这么做,nPCS0脚就必须另外占用一个针用于引出


回复【694楼】minux 啊啊?
-----------------------------------------------------------------------

至于电源,我觉得我们不用太限制尺寸,因为我们的空间很大(高度上除外,5.5mm不能超)
电源部分的预留空间,大概装下一片TQ44芯片,一个10*10电感,再加个D-PAK都问题不大

出0入0汤圆

发表于 2010-5-24 19:38:31 | 显示全部楼层
我也转转看了看
貌似体积都比较小
LT3561
NCP1529
这两个能输出1A

出0入0汤圆

发表于 2010-5-24 19:43:26 | 显示全部楼层
【696楼】 h2feo4 无机酸

恩 如果这样的话,可以上一个输出电流大点的DC-DC了,而且似乎必须得外接肖特基二极管。
那选择就超级多了。

话说如何用SPI独立驱动JTAG链啊?

出0入0汤圆

发表于 2010-5-24 19:49:24 | 显示全部楼层
回复【698楼】minux 啊啊?
-----------------------------------------------------------------------

SPI驱动JTAG

TDI = MISO
TDO = MOSI
TCK = SPCK
TMS = nPCS0

如果需要对TMS有复杂操作的话,此法不合适

出0入0汤圆

发表于 2010-5-24 19:50:02 | 显示全部楼层
【697楼】 h2feo4 无机酸

ON的NCP1529似乎不错…… 有货。就按这个吧。
或者留下两个DC-DC的空间?既然空地那么多……

出0入0汤圆

发表于 2010-5-24 19:56:11 | 显示全部楼层
【699楼】 h2feo4 无机酸

呃 如果SPI支持1位宽度操作,就可以用nPCS0来代替TMS了…… 但是SAM3U支持的宽度是8-16位……

不过其实FPGA配置的时候似乎主要的部分都是在SDR,而且配置信息的长度都是8位的倍数,其他时刻
用GPIO来弄,之后shift DR的时候用SPI也是可行的。

就按你的方案来吧。我同意。反正都是有电阻可以切换,仅用来配置FPGA的话,也没必须要斤斤计较
速度,所以确实可以把SSC给释放出来做别的用处,这些pin接到背面的接插件上了吗?

出0入0汤圆

发表于 2010-5-24 20:14:25 | 显示全部楼层
回复【701楼】minux 啊啊?
-----------------------------------------------------------------------

目前SPI连到了背面的连接器,SSC没有
有必要的话随时可以连上去

出0入0汤圆

发表于 2010-5-24 20:18:16 | 显示全部楼层
【702楼】 h2feo4 无机酸

既然我们板子上留了一个可以不用SSC的JTAG解决方案,那就把SSC接到FPGA上吧。
电源问题你怎么抉择?

出0入0汤圆

发表于 2010-5-24 20:41:09 | 显示全部楼层
回复【703楼】minux 啊啊?
-----------------------------------------------------------------------

SSC连上去没问题,加几个过孔而已

JTAG还有个小问题
就是SPI方式,和SPI + SSC方式信号定义有些不同,如表所示
+-----+----------------+-------+
|     |   SPI + SSC    |  SPI  |
+-----+----------------+-------+
| TDO |   MISO + RD    | MOSI  |
| TDI |      MOSI      | MISO  |
| TCK | SPCK + TK + RK | SPCK  |
| TMS |       TD       | nPCS0 |
+-----+----------------+-------+

简单的说,就是MISO和MOSI相反
因为在SPI模式下,SPI是工作在MASTER模式的
而SPI + SSC模式下,SPI是工作在SLAVE模式的
我们也许需要添加两个0欧电阻来选择MISO/MOSI的顺序


至于电源问题,尽量都兼容吧
放两组 DC-DC(都从5V往下降么?)
至于SAM3U片内的LDO,VDDIN串一个0欧电阻
使用片内LDO时不焊DC-DC,焊0欧电阻和退耦电容
不使用片内LDO时焊DC-DC,不焊0欧电阻,在原退耦电容位置上焊0欧电阻

出0入0汤圆

发表于 2010-5-24 21:06:39 | 显示全部楼层
【704楼】 h2feo4 无机酸

o 我原来不知道你要怎么用nPCS0,所以按照我常用的方式给了个连接方案,
其实换过来是没问题的。

而且我现在还倾向于用你的方案…… 因为配置FPGA的时候,最主要的是一个很大的shift DR,
其他部分不需要用SSC来加速。

不过,还得考虑下直接接IO板的情形。

另外,你是说1.8V也外面用DC-DC给么?是个好主意,要不两组DC-DC,设计成可以让一个切换
过来供应1.8V? 正好NCP1529和上面提到的RT8008兼容,但和LM3671不兼容。

出0入0汤圆

发表于 2010-5-24 21:15:14 | 显示全部楼层
回复【705楼】minux 啊啊?
-----------------------------------------------------------------------

我需要排用于调换MISO/MOSI顺序的电阻么?
空间有,如果有需要就排上

出0入0汤圆

发表于 2010-5-24 21:19:16 | 显示全部楼层
电源方案还有一些需要考虑
例如:
是否使用SHDN?
对外输出5V的控制?
考虑未来外加独立电源板的情况?

出0入0汤圆

发表于 2010-5-24 22:27:32 | 显示全部楼层
【706楼】 h2feo4 无机酸

不用了,对于软件影响不大的。


【707楼】 h2feo4 无机酸

对于给FPGA的5V最好控制一下,同时测量电流需要么?
SHDW可以加上,不过不是那么必要,因为我们的设备没啥必要进入休眠…… 当然以后要做便携式的脱机编程器单说,
那时候估计都不能用这么大的FPGA了。
外加独立电源板什么意思?现在板子上没有外部电源接口么?得有吧,我觉得500mA的USB供电限制有点悬,
况且不是所有的USB口都能提供那么大电流……

出0入0汤圆

发表于 2010-5-24 22:29:48 | 显示全部楼层
回复【708楼】minux 啊啊?
-----------------------------------------------------------------------

附加电源板的意思就是,主电源(包括5V和3.3V,可能来自MCU板以外)
因为USB口的功率十分受限制
就算用移动硬盘那种双头线,也不到1A

出0入0汤圆

发表于 2010-5-24 22:32:44 | 显示全部楼层
JTAG是按这种方安排么,请仔细确认一下
TDO = MOSI + RD
TDI = MISO
TCK = SPCK + TK + RK
TMS = TD +  nPCS0

出0入0汤圆

发表于 2010-5-24 22:49:32 | 显示全部楼层
【710楼】 h2feo4 无机酸

这样吧?SPI+SSC的时候让SSC工作于Slave模式即可。这样SPI就能一直是Master模式了。
TDO = MISO + RD
TDI = MOSI
TCK = SPCK + TK + RK
TMS = TD +  nPCS0

出0入0汤圆

发表于 2010-5-24 23:05:31 | 显示全部楼层
期待ing

出0入0汤圆

发表于 2010-5-25 09:37:36 | 显示全部楼层
回复【711楼】minux 啊啊?
-----------------------------------------------------------------------

是我搞错了……
TDI是目标设备的输入,是JTAG控制器的输出,之前我搞反了
就按你说的连接好了

出0入0汤圆

发表于 2010-5-25 09:45:38 | 显示全部楼层
JTAG的Driver/Loopback用什么芯片?

出0入0汤圆

发表于 2010-5-25 10:29:13 | 显示全部楼层
越来越火了..

怎么不单独开一个版块??

出0入0汤圆

发表于 2010-5-25 15:30:49 | 显示全部楼层
我们的 DC-DC 电感用什么封装?确定一下

出0入0汤圆

发表于 2010-5-25 21:43:13 | 显示全部楼层
进度汇报

(原文件名:20100525A.PNG)


(原文件名:20100525B.PNG)


(原文件名:20100525C.PNG)

出0入0汤圆

发表于 2010-5-26 06:50:52 | 显示全部楼层
火了..

出0入0汤圆

发表于 2010-5-26 09:14:19 | 显示全部楼层
期待 支持下 !

出0入0汤圆

发表于 2010-5-26 21:15:05 | 显示全部楼层
正在思考GNDBU的隔离方案
找了半天没找到官方对GND的说明(或推荐)

出0入0汤圆

发表于 2010-5-26 21:48:03 | 显示全部楼层
MARK~~~学习~~

出0入0汤圆

发表于 2010-5-27 16:55:19 | 显示全部楼层
这个想法好啊,烦透了抽屉里一堆下载器

出0入0汤圆

发表于 2010-5-27 21:52:46 | 显示全部楼层
电源部分

(原文件名:20100527A.PNG)

出0入0汤圆

发表于 2010-5-28 13:36:52 | 显示全部楼层
minux,你原理图中原来USB口的ESD器件选的是TI的SN65220
经查数据手册貌似其并不适合用于USB2.0

(原文件名:20100528A.PNG)

我又重新搜索了一下,貌似ST的 USBLC6 比较合适,你觉得如何?

出0入0汤圆

发表于 2010-5-28 21:27:47 | 显示全部楼层
【724楼】 h2feo4 无机酸

恩 或者用封装兼容的NUP4201MR6。

现在电感用的什么封装?我们得要2.2uH至少1.5A的电流的电感。

出0入0汤圆

发表于 2010-5-28 21:58:18 | 显示全部楼层
回复【726楼】minux 啊啊?
-----------------------------------------------------------------------

功率电感目前用的是4*4尺寸的
我去TDK那里转了一圈,发现2.2uH,1A的电感只要0805封装就够了……

出0入0汤圆

发表于 2010-5-28 22:21:28 | 显示全部楼层
【727楼】 h2feo4 无机酸

不会吧…… 1A 2.2uH电感能做这么小……

出0入0汤圆

发表于 2010-5-28 22:27:59 | 显示全部楼层
回复【728楼】minux 啊啊?
-----------------------------------------------------------------------

又去核对了下,不是0805,是2mm*2mm*1mm尺寸
点击此处下载 ourdev_557930.pdf(文件大小:50K) (原文件名:e531_vls2010e.pdf)


我在板子上放的是参考这个:
点击此处下载 ourdev_557932.pdf(文件大小:37K) (原文件名:e531_vlcf4024_2.pdf)

出0入0汤圆

发表于 2010-5-28 23:29:36 | 显示全部楼层
看了一下,挺好玩的一个东西。这个讨论缺一个索引页面啊,看得我头昏眼花。

这个是整体框架吗?

引用图片【151楼】yzhu  
-----------------------------------------------------------------------

(原文件名:Modula.PNG)


回复【158楼】minux  啊啊?
恩,调试用是可以,不过有了jtag和swv之后,其实不用串口也能很好的调试程序了。
主要是考虑到很多机器都没有串口了…… 所以还是尽可能用调试器的swv之类的功能
来送出数据。
...
-----------------------------------------------------------------------

如果光有USB,上位机就不能light weight了,至少也得是USB host;而有USART之类的接口的话,上位机就有flexibility了。我建议这样的结构,

USB <-> USART <-> [cached/real-time logic processor] -> I/O

USART的实现不论单片机还是FPGA都相当轻量级,容易编程/实现,速度在5Mbps没有问题。USB的话,简单的可以选PL2303HX,价格小于2块钱,可以实现6Mbps的USB到USART的转换。

出0入0汤圆

发表于 2010-5-28 23:52:58 | 显示全部楼层
回复【675楼】h2feo4  无机酸
我们目前待确定的问题:
1、电源方案
用什么dc/dc
如何切换
如何做反插保护
是否检测电压、电流等
是否使用shdn
……
2、调试接口
我选的是2.0mm pitch 10*2排针
目前预留的信号有(vcc33、gnd、tdi、tdo、tck、tms、jtagsel、erase、tst、nrst、nrstb、shdn、fwup、utxd、urxd、txd0、rxd0)
是否需要增加或删除某些信号?
是否需要板载max3232?
是否需要添加esd保护器件?
3、vddbu上串的二极管选型
4、power led上串的mos管选型
5、led、sd插入检测/写保护、dma信号、usb插入检测等,确定使用哪个gpio
-----------------------------------------------------------------------

我不是很精通,只是同样的问题思考过挺长的时间... 电源如果是5V输入,然后用1117转到3.3V或2.5V就都有了。板载RS232接口会方便很多,缺点是DB9占用面积太大。专门的ESD保护芯片好像消费不起,我考虑用logic buffer,如74AHC241,自带ESD保护,传播/延迟时间在10ns的数量级;241之类的buffer同样适合用在总线切换。

过流/短路保护都怎么搞得呢?我有时在想,在电源转换/功率芯片边上搞个PTC热敏电阻来检测,不知道是否可行。

出0入0汤圆

发表于 2010-5-29 10:38:17 | 显示全部楼层
【730楼】 albertjin

是,现在本帖确实比较烦乱。等我们第一版硬件出来后考虑申请一个自己的分论坛,到时候就可以好好整理下做个索引了。

另外,不明白为什么上位机要轻量,我其实还想让上位机尽可能多做事情呢,然后MCU和FPGA专注于时序和JTAG调度。
这里上位机就是你开发、调试所用的机器,肯定不会轻量吧~
如果你说的上位机轻量是指脱机烧写之类的应用,那我们也在考虑中,其实板上留了2个串口的。

对了h2feo4,RS232电平转换芯片放到板子上了么?

出0入0汤圆

发表于 2010-5-29 11:09:24 | 显示全部楼层
回复【732楼】minux 啊啊?
-----------------------------------------------------------------------

目前没有把MAX3232放上去,但是保留了足够的面积
因为我们的MCU板上空间(主要是高度方向上)非常有限,装不下2.54-pitch双排针,更装不下DB9插座
目前只装了20pin 2.0-pitch双排针(大概最大可以装24pin的)
我的想法是这样的,我们做一小块双面板,在那块板上放DB9插座,2.54-pitch的20pin调试接口,MAX3232,以及跳线/开关等,甚至是USB-232芯片


顺便再问一下,JTAG的Driver/Loopback用什么IC?

出0入0汤圆

发表于 2010-5-29 11:41:40 | 显示全部楼层
回复【733楼】h2feo4  无机酸
-----------------------------------------------------------------------

没有USB,那么电源处理也摆在外面好了。

感觉这样不够方便,USB和USART双接口比较好,TTL就可以了,插针的接口,RS232的那一套感觉过时了。

前面ESD保护,以为是IO口。如果是USB口的话,实际应用中ESD保护的没见过,而用电感保护突然插拔的电流比较多。比如这个PL2303HX,

点击此处下载 ourdev_557997.pdf(文件大小:14K) (原文件名:U2S-SP213EH-9-A-1.1.pdf)

出0入0汤圆

发表于 2010-5-29 13:26:42 | 显示全部楼层
目前状况:

(原文件名:20100529A.PNG)


(原文件名:20100529B.PNG)

剩余工作:
校正和修改部分封装,包括:
VDDBU上产的二极管,PowerLED的MOS管,屏蔽地和信号地间的电阻和电容,自恢复保险,VBUS上串的二极管,以及排针
考虑SD卡座封装兼容更多的版本

增加部分0欧电阻,上拉电阻

向外输出5V的切换方案待定

调试接口方案待定

电源平面/地平面分割方案待确认

出0入0汤圆

发表于 2010-5-29 14:00:56 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-5-30 14:38:06 | 显示全部楼层
【733楼】 h2feo4 无机酸

既然有地方就放一个MAX3232吧,焊接不焊接无所谓 最好是能同时引出两个UART的TTL电平和RS232电平,物理接口倒是无所谓。

调试口如果还要引232电平的针,那最好是分成两个排针 免得出问题。

调试口目前计划引出什么?只需要JTAG的4根,以及VREF和GND,还有一个NRSTB。其余的似乎都不需要。
然后另外一半,把UART引出来。

loopback控制可以用SN74AUP1G125,如果选定的话,以后的板子就都用它了,按照前面提到的方式。
TCK和TMS的驱动器我现在觉得似乎不需要了,SAM3U本身的驱动能力也够足了,我们又不会接太多的FPGA,所以估计问题不大。

出0入0汤圆

发表于 2010-5-30 14:50:01 | 显示全部楼层
回复【737楼】minux 啊啊?
-----------------------------------------------------------------------

放MAX3232没问题
问题是RXD怎么切换

调试接口目前引出了15个信号,以及VCC33和GND
我的意思是在有充足空间的情况下,保留尽可能多的信号

还有一个,USB那里,屏蔽地和信号地之间不是有一个电阻和一个电容么,用什么封装?(目前最大能容忍公制6432尺寸)

Loopback 用 1G125 我没有意见,封装用SOT-23么?

出0入0汤圆

发表于 2010-5-30 15:09:39 | 显示全部楼层
关于GPIO的分配,看看这样行不
PC13/PC14   分给SD卡的插入检测/写保护
PC19        分给Power LED
PB0/PB1     分给Status LED
PB2         分给USB插入检测
PB3/PB4     分给FPGA-DMA
PA0/PA1/PA2 分给FPGA-Config
这样基本上让开了u1tra已确定占用的IO
而且布线不太费劲

出0入0汤圆

发表于 2010-5-30 15:12:19 | 显示全部楼层
【738楼】 h2feo4 无机酸

是说RXD和TDO切换作为SWO么?用电阻吧,而且同时接到MAX3232也没问题的。

调试口我说的那些都是必须引出的,其余的引出来倒是无妨,不过你列举下,看看有没有肯定不需要的。

另外,232串口是不是和其他的3.3V电平的线分开?比如拔掉2根针?

1G125用SOT23封装我没意见。不过不知道DBV和DCK封装哪个好买…… 要不也上一个DCK的封装?

出0入0汤圆

发表于 2010-5-30 15:24:38 | 显示全部楼层
回复【740楼】minux 啊啊?
-----------------------------------------------------------------------

RXD冲突如图:
当两个输入端同时输入信号时,可能产生冲突
串电阻解决如何?

(原文件名:20100530A.PNG)
另外,要不要加两个0欧电阻做本地 Loopback?

调试接口目前预留的信号有(vcc33、gnd、tdi、tdo、tck、tms、jtagsel、erase、tst、nrst、nrstb、shdn、fwup、utxd、urxd、txd0、rxd0)

我们的板子上要装 RST KEY 么

出0入0汤圆

发表于 2010-5-30 15:31:15 | 显示全部楼层
至于对外输出5V,我打算装一个SO-8封装的双联PMOS管,分别控制板正面和背面的5V输出
FDS6875如何?

出0入0汤圆

发表于 2010-5-30 15:40:43 | 显示全部楼层
【741楼】 h2feo4 无机酸

ft... 最近准备答辩都头大了…… 想错了想错了。
我那个电路图是是UART和USART0接到MAX3232,USART1/2接到其余
部分,如果这样就不会冲突了吧。至于正面的排针的RXD冲突,能否上跳线?实在不行也串入0ohm电阻吧。

我建议板子正面最好放一个Reset按键... 虽然似乎是用不到的,拔插USB线即可,但是如果外接了电源,有Reset键估计会好点。

【742楼】 h2feo4 无机酸

用PMOS切换5V的话,我们能让他可靠关断么?是不是还得上NMOS来提升下电压再去控制PMOS?

出0入0汤圆

发表于 2010-5-30 15:56:09 | 显示全部楼层
回复【743楼】minux 啊啊?
-----------------------------------------------------------------------

RST-KEY 用 nRST 还是 nRSTB ?

PMOS栅极加个低值上拉电阻,应该可以可靠关断了

出0入0汤圆

发表于 2010-5-30 16:08:47 | 显示全部楼层
【744楼】 h2feo4 无机酸

按照我那个电路图接吧,我仔细查过JTAG部分。
用上拉电阻的话,还得看SAM3U的IO能不能承受5V啊…… 虽然它的IO具有开漏输出的功能,但是手册上没提
5V兼容性…… 只说了对于输入管脚最高4.0V,每个GPIO都可看作输入管脚,那估计是不能那么接的。

出0入0汤圆

发表于 2010-5-30 16:10:30 | 显示全部楼层
NRSTB和NRST的问题,如果只是要复位MCU,比如按键或者JTAG上的SRST,都接NRSTB。

前者是个IO管脚,内部可以设置输出低的,可以接给FPGA板们做全局复位。

出0入0汤圆

发表于 2010-5-30 16:20:06 | 显示全部楼层
回复【745楼】minux 啊啊?
-----------------------------------------------------------------------

我设想的结构是这个样子的:


(原文件名:20100530B.PNG)

VCC5是板上5V,来自VBUS并串了肖特基管
5VOUT是排针上面的5V
5VREQ是排针上面的一个脚,正常位置接GND,其对称位置悬空
如果我们插对了,5VREQ被拉低,MOS管导通,向外输出
如果插反了,5VREQ上拉,MOS管截止

出0入0汤圆

发表于 2010-5-30 16:39:59 | 显示全部楼层
【747楼】 h2feo4 无机酸

原来不是MCU控制 那没问题了。

出0入0汤圆

发表于 2010-5-30 17:23:11 | 显示全部楼层
我们的20pin调试接口保留以下信号如何:
VCC33/GND(各两个)
TDI/TDO/TCK/TMS
JTAGSEL/TST/ERASE/nRSTB
UTXD/URXD/TXD0/RXD0(CMOS电平和RS232电平各一组)

你图里有的信号都包含在内了,但增加了JTAGSEL/ERASE/TST

出0入0汤圆

发表于 2010-5-30 17:33:56 | 显示全部楼层
还要看一下我们的调试插座能不能很容易买到
2.0mm-pitch,10*2,有壳,90度转角

可以参考:

(原文件名:DEBUG PORT.jpg)
点击此处下载 ourdev_558258.pdf(文件大小:653K) (原文件名:box_header.pdf)

板子快完工了,我们可能需要购买几只样品,核对一下关键部件的封装,例如堆叠用的排针/排座

出0入0汤圆

发表于 2010-5-30 17:51:11 | 显示全部楼层
【749楼】 h2feo4 无机酸

我觉得增加的三个信号中ERASE必须,另外两个其实没必要(JTAGSEL是选择SAM3U的JTAG边界扫描的,TST是进入快速Flash编程的
,我们如果焊接了MCU的话,肯定没办法快速Flash编程)。所以这两个我建议在板子上用电阻都下拉即可(真要用的话,自行飞线)。

另外,电路图完全确定后群发一下大家检查下?


【750楼】 h2feo4 无机酸

如果不着急的话,我下周二就有时间去电子市场调研下这个。
还有什么要调研,差不多了就公开BOM表格吧。

出0入0汤圆

发表于 2010-5-30 18:46:10 | 显示全部楼层
回复【751楼】minux 啊啊?
-----------------------------------------------------------------------

我这大概这两天就能差不多搞完了
适当整理后群发

调研不着急

出0入0汤圆

发表于 2010-5-31 20:14:51 | 显示全部楼层
minux 我们的MAX3232用什么封装?你原理图中用的是CUE,0.65mm-pitch TSSOP-16的,就按这个么?
还是用CSE,1.27mm-pitch SOIC-16?

出0入0汤圆

发表于 2010-5-31 20:27:46 | 显示全部楼层
空间许可用哪个?
0.65的好像货源还行。

出0入0汤圆

发表于 2010-5-31 20:31:34 | 显示全部楼层
回复【754楼】dr2001
-----------------------------------------------------------------------

空间应该能装下CSE

出0入0汤圆

发表于 2010-5-31 20:42:22 | 显示全部楼层
考虑0.65的吧,貌似替换型号更容易找,Sipex,现在Exar什么的。

出0入0汤圆

发表于 2010-5-31 20:43:39 | 显示全部楼层
回复【756楼】dr2001
-----------------------------------------------------------------------

好吧,按CUE的封装布板

出0入0汤圆

发表于 2010-5-31 21:14:47 | 显示全部楼层
看 MAX3232 的 Datasheet
CUE封装的肚子上貌似是有热焊盘的
我们要放这个焊盘么?

出0入0汤圆

发表于 2010-5-31 21:38:05 | 显示全部楼层
应该不需要。
一个是功耗没那么大,另一个是Sipex之类的芯片没有。

出0入0汤圆

发表于 2010-6-1 11:40:55 | 显示全部楼层
不用放..

出0入0汤圆

发表于 2010-6-1 13:49:38 | 显示全部楼层
我们要把SHDN脚连到DC/DC上面去吗
貌似SHDN还要有个上拉?

出0入0汤圆

发表于 2010-6-1 16:08:12 | 显示全部楼层
【761楼】 h2feo4 无机酸

别接了吧…… 咱们需要休眠么?

出0入8汤圆

发表于 2010-6-1 18:07:45 | 显示全部楼层
支持下!

出0入143汤圆

发表于 2010-6-1 19:04:02 | 显示全部楼层
真不明白为什么这个贴子那么短的时间内有125万次的点击率!!!

出0入0汤圆

发表于 2010-6-2 06:49:53 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-6-2 10:43:10 | 显示全部楼层
关于片内1.8V稳压器
按手册上说,不使用片内稳压器时,VDDIN接地,VDDOUT悬空
问:
VDDOUT不悬空行不行(连到VCC18上)
必须要悬空的话,我串个0欧电阻

出0入0汤圆

发表于 2010-6-2 18:45:32 | 显示全部楼层
回复【746楼】minux 啊啊?
nrstb和nrst的问题,如果只是要复位mcu,比如按键或者jtag上的srst,都接nrstb。
前者是个io管脚,内部可以设置输出低的,可以接给fpga板们做全局复位。
-----------------------------------------------------------------------

按键复位接NRSTB
JTAG的复位要接NRST吧,SAM3-EK上就是这样

rst (原文件名:1.JPG)

出0入0汤圆

发表于 2010-6-2 18:51:01 | 显示全部楼层
布线工作基本完成
剩下只有少量细节调整


(原文件名:20100602C.PNG)

图中
红色元件是 电容
粉色元件是 电感
蓝色元件是 电阻
青色元件是 二极管/发光二极管
黑色元件是 自恢复保险
灰色元件是 有源器件/晶振


(原文件名:20100602A.PNG)


(原文件名:20100602B.PNG)

今天晚上整理原理图,整好后群发

出0入0汤圆

发表于 2010-6-2 19:26:53 | 显示全部楼层
Very Nice~

出0入0汤圆

发表于 2010-6-2 19:29:45 | 显示全部楼层
我们还差一个RST KEY
最好是贴片封装,90度侧按
尺寸不得超过10mm*10mm*5.5mm

出0入0汤圆

发表于 2010-6-3 10:16:06 | 显示全部楼层
mark.

出0入0汤圆

发表于 2010-6-3 18:32:06 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-6-3 18:47:43 | 显示全部楼层
各位
MCU板
PCB初稿和SCH二稿已经出炉
请查收邮件

快速预览:


(原文件名:20100603A.PNG)


(原文件名:20100603B.PNG)


(原文件名:20100603C.PNG)


(原文件名:20100603D.PNG)

出0入31汤圆

发表于 2010-6-3 18:55:12 | 显示全部楼层
那个电源芯片是什么?我记得1117的中间管脚是输出且是和那个宽的引脚连接在一起的,你的好像是和地连在一块的。

出0入0汤圆

发表于 2010-6-3 18:58:08 | 显示全部楼层
回复【774楼】zchong
-----------------------------------------------------------------------

是1117
2脚因为布线麻烦干脆悬空了
4脚接到了3.3V网络

出0入0汤圆

发表于 2010-6-4 00:34:10 | 显示全部楼层
厉害呀,弱弱的问一下 h2feo4 无机酸大哥,那个画图软件是什么软件呀..

出0入0汤圆

发表于 2010-6-4 09:32:38 | 显示全部楼层
普通的99se之类的吧, 或者AD

出0入0汤圆

发表于 2010-6-4 12:24:14 | 显示全部楼层
回复【776楼】smartzou 笨笨
-----------------------------------------------------------------------

AD winter 09

出0入0汤圆

发表于 2010-6-4 13:37:50 | 显示全部楼层
回复【778楼】h2feo4 无机酸
-----------------------------------------------------------------------

O(∩_∩)O谢谢..嘿嘿

出0入0汤圆

发表于 2010-6-5 11:07:38 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-6-5 16:50:17 | 显示全部楼层
【773楼】 h2feo4 无机酸

抱歉 已收到邮件 才开始看。估计不会有什么问题。

我们要不要也把FPGA板也做了?估计这次做板之后很长时间都没机会做了。

出0入0汤圆

发表于 2010-6-5 18:49:19 | 显示全部楼层
回复【781楼】minux 啊啊?
-----------------------------------------------------------------------

大概规划一下FPGA板原理图吧?

目前这块板子元件序号和原理图上有很多还对不上,稍后我修正一下

出0入0汤圆

发表于 2010-6-5 23:03:40 | 显示全部楼层
【782楼】 h2feo4 无机酸

FPGA板还确实需要考虑侧面留一个JTAG口单独调试用,但是又最好不要影响链中其他的东东....


先决定FPGA板用什么FPGA吧。
主要需要16位宽度SDRAM至少两片,别的还有什么需要的么?

出0入0汤圆

发表于 2010-6-5 23:30:00 | 显示全部楼层
回复【783楼】minux 啊啊?
-----------------------------------------------------------------------

用一个四位二选一模拟开关来切换JTAG就行了吧,低阻模拟开关我有好多

至于选FPGA,我们要IO尽量多的
按四层板布线的极限来说,如果允许5mil/5mil,最大FT256
如果按6mil/6mil算,最大能装下PQ240,即EP3C16
或者PQ208,例如XC3S250E/500E

同意 32bit-SDRAM

出0入0汤圆

发表于 2010-6-6 00:52:40 | 显示全部楼层
SDRAM就按MT48LC32M16来画,这样是32M*16bit一片,两片就是128MB,这个存储在足够长时间内都是非常足够的。

一片SDRAM的IO需要至多39根,两片的话,如果我们想要最大的带宽,就尽可能不能共用IO,那就是说将近80根
IO要给SDRAM.

和MCU板接口部分,需要一条16位总线,加上三个控制线,2个DMA线,这里就21根了。再加上可能的SSC/USART/CLK/RST等,
这里还得算上至少10根;所以MCU得留30-40根?

IO部分,按照先前计划,16路,每路2根,32根,还没有考虑和IO板的单片机的接口(似乎是不需要的)。

这样估算需要80+40+32=152根,但是可能考虑得不够全面。

如果只考虑QFP封装的话:
3S500E/250E的PQ208封装是158根User IO,似乎是可以物尽其用的(其中32根是input-only,我还没仔细考虑这个问题)。
Altera的EP3C16Q240封装反而只有160根,而且EP3C25和EP3C40更加少,分别是148根和128根。
EP2C的话,只有20有Q240封装,User IO是142,也不怎么样……
EP1C6和12均有Q240封装,User IO分别是185和173,这个都不错,而且没有input-only的问题,但是性能和C3是差得较远的。
EP4CE系列是不是肯定买不到?XC6S也是吧(即使能买到,也没有那么大的QFP封装……)?
Spartan-3A(N)系列IO都不够多,XC3S200/400倒是可用Q208封装,都是141 User IO(这个应该是没有input-only的问题的)

出0入4汤圆

发表于 2010-6-6 01:06:15 | 显示全部楼层
回复【784楼】h2feo4 无机酸
回复【783楼】minux 啊啊?
-----------------------------------------------------------------------
用一个四位二选一模拟开关来切换jtag就行了吧,低阻模拟开关我有好多
至于选fpga,我们要io尽量多的
按四层板布线的极限来说,如果允许5mil/5mil,最大ft256
如果按6mil/6mil算,最大能装下pq240,即ep3c16
或者pq208,例如xc3s250e/500e
同意 32bit-sdram
-----------------------------------------------------------------------

回复【785楼】minux 啊啊?
sdram就按mt48lc32m16来画,这样是32m*16bit一片,两片就是128mb,这个存储在足够长时间内都是非常足够的。
一片sdram的io需要至多39根,两片的话,如果我们想要最大的带宽,就尽可能不能共用io,那就是说将近80根
io要给sdram.
和mcu板接口部分,需要一条16位总线,加上三个控制线,2个dma线,这里就21根了。再加上可能的ssc/usart/clk/rst等,
这里还得算上至少10根;所以mcu得留30-40根?
io部分,按照先前计划,16路,每路2根,32根,还没有考虑和io板的单片机的接口(似乎是不需要的)。
这样估算需要80+40+32=152根,但是可能考虑得不够全面。
如果只考虑qfp封装的话:
3s500e/250e的pq208封装是158根user io,似乎是可以物尽其用的(其中32根是input-only,我还没仔......
-----------------------------------------------------------------------




难怪一天在FPGA论坛很少看到  无机酸  大哥   原来最近在认证的做这个事,  非常好的东西,鼎力支持!先慢慢阅读完该贴再说

出0入0汤圆

发表于 2010-6-6 01:12:23 | 显示全部楼层
【786楼】 vermon

我现在觉得本帖已经这么长了,再加上不在本帖里面的300多楼……
已经超过1k楼了…… 估计要看完已经不太容易了。

出0入4汤圆

发表于 2010-6-6 01:29:11 | 显示全部楼层
的确是这个样子。。。。。。。。

但是这个帖子非常值得画一周的时间详细研读

这个帖子的价值不仅仅是做出来这么强大一个工具,整个过程是一个更大的财富
—————————————————————分割线  为了节约楼层———————————————————————

整个帖子里面很少有无关的回复,通篇到此700多楼,楼楼精彩,
每一段文字,回帖者认真回复。   都值得我等菜鸟详细认证研读

出0入0汤圆

发表于 2010-6-6 10:48:33 | 显示全部楼层
持续关注~~!

帖子实在是够长的了。。
应该单独开个版块。
我个人比较喜欢邮件列表的方式。

出0入0汤圆

发表于 2010-6-6 14:18:58 | 显示全部楼层
回复【785楼】minux 啊啊?
-----------------------------------------------------------------------

貌似还没考虑MCU的地址线,算进去的话还要加不少

给IO的32根线考虑未来的扩展性,使用16个差分对,这个倒应该没问题
不过高速差分信号,LVDS、LVPECL之类的往往都是2.5V电压下的,如果我们IO电平用3.3V很不爽

我都想IO电平全用2.5V算了,MCU板也改成2.5V的IO
这样FPGA的电源也省事了(像Spartan-3/3E必须要用2.5V,IO也用2.5V的话就不用3.3V了,省一组电源)

不过用2.5V的话,储存器那里又不爽
61LV是不行了,61WV倒是可以
SDRAM不知道有没有2.5V的,DDR倒是肯定有
Flash也不知道2.5V转得起来不
很晕
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-4-26 07:47

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表