搜索
bottom↓
楼主: navalguy

秀一下俺做的一款XILINX FPGA电子竞赛套件

  [复制链接]

出0入0汤圆

发表于 2010-7-31 23:01:23 | 显示全部楼层
回复【115楼】navalguy2
-----------------------------------------------------------------------

支持

出0入0汤圆

发表于 2010-8-1 09:46:04 | 显示全部楼层
顶,lz威武

出0入0汤圆

发表于 2010-8-1 20:20:22 | 显示全部楼层
冲着示波器的笑脸,记号

出0入0汤圆

发表于 2010-8-2 23:31:18 | 显示全部楼层
很强!楼主参加了多少电子大赛啊!最多就两届,楼主从05到现在,不是职业棒人家做的吧!

出0入0汤圆

发表于 2010-8-3 23:22:19 | 显示全部楼层
要求用指定的FPGA平台结合SOPC技术构造一个乒乓球游戏机。系统结构如果所示,FPGA通过两条I/O信号输出两组脉宽调制波,经过低通滤波器提取脉宽调制的均值电压,输入到双通道示波器中。示波器工作在X/Y模式。示波器屏幕上的光点代表乒乓球。乒乓球X/Y电压的控制下在屏幕上移动。
基本要求:1.构造两组无源低通滤波器,使得FPGA可以用脉宽调制方式控制球的位置(20分)
2.用给定的运放制作一放大器,使光点运动范围在示波器的X轴,Y轴方向上可调(10分)
3.乒乓球可按抛物线自动在屏幕上左右弹射,球的落点为屏幕两侧边的中间。(20分)
扩展要求:1.使用两个按钮分别作为左侧击球钮和右侧击球钮。当乒乓球靠近屏幕左边时按下左侧击球钮,可将球击回右侧。右侧击球钮亦然。(10分)
2.建立成败机制,即球抵达边沿而未按下击球钮,记为击球失败,用LED灯光表示。(10分)
3.建立记分机制,在七段数码管上显示双方得分(10分)
4.特殊功能,在不调整放大器的情况下,连按两下击球钮能击出高抛球,轨迹抛物线曲率变大(20分)


北京为什么5月就完了啊。。还以为是9月呢。。。北京是6个还是8个小时来的?

出0入0汤圆

发表于 2010-8-5 11:37:04 | 显示全部楼层
回复【120楼】youngsh
很强!楼主参加了多少电子大赛啊!最多就两届,楼主从05到现在,不是职业棒人家做的吧!
-----------------------------------------------------------------------

呵呵,指导老师,职业帮自己做。

出0入0汤圆

发表于 2010-8-5 11:47:20 | 显示全部楼层
回复【121楼】djp1
北京为什么5月就完了啊。。还以为是9月呢。。。北京是6个还是8个小时来的?
-----------------------------------------------------------------------

是的,北京是比赛1天,和国赛的4天3夜形式不同。在1天内想做好这道题还是很不容易的。

最近我们正在用Microblaze软核做这道题,目前脉宽调制波已经发出来了,两路低通滤波器也做好了。测试了一下,已经可以利用FPGA用脉宽调制方式控制球的位置了。稍后将贴出我们的实验结果。

出0入0汤圆

发表于 2010-8-5 13:13:36 | 显示全部楼层
记号~~

出0入0汤圆

发表于 2010-8-6 19:06:10 | 显示全部楼层
回复【123楼】navalguy2
-----------------------------------------------------------------------

期待楼主的大作!

出0入0汤圆

发表于 2010-8-8 08:54:56 | 显示全部楼层
回复【122楼】navalguy2
-----------------------------------------------------------------------

黑龙江的省赛是15天啊……也有FPGA,可不可以对于之前准备工作提供一些意见?应该准备哪些模块啊?谢谢~望指教

出0入0汤圆

发表于 2010-8-8 12:28:52 | 显示全部楼层
回复【126楼】sophy_heu 蒋碧涵
-----------------------------------------------------------------------
黑龙江的省赛是15天啊……也有fpga,可不可以对于之前准备工作提供一些意见?应该准备哪些模块啊?谢谢~望指教
-----------------------------------------------------------------------

“2010年黑龙江赛区大学生电子设计竞赛“通知 (原文件名:“2010年黑龙江赛区大学生电子设计竞赛“通知G.jpg)

看来还是黑龙江的省赛时间充裕啊。今年黑龙江的省赛好象也是高教社和美国XILINX公司联合赞助的,应该会有1道题要用指定的FPGA开发板吧。

竞赛之前,首先要明确自己的选题方向,是信号类的、电源类的、还是控制类的,等等。如果是信号类的,可以提前准备一下A/D、D/A模块,还有一些信号调理电路、功放电路,等等。

出0入0汤圆

发表于 2010-8-9 20:30:14 | 显示全部楼层
楼主很是厉害

出0入0汤圆

发表于 2010-8-9 22:04:28 | 显示全部楼层
学习下

出0入0汤圆

发表于 2010-8-10 10:42:51 | 显示全部楼层
回复【126楼】sophy_heu 蒋碧涵
回复【122楼】navalguy2  
-----------------------------------------------------------------------
黑龙江的省赛是15天啊……也有fpga,可不可以对于之前准备工作提供一些意见?应该准备哪些模块啊?谢谢~望指教
-----------------------------------------------------------------------

信号类的,可以提前准备一下A/D、D/A、DDS模块,还有一些信号调理电路、功放电路,等等。下面是俺淘宝小店(http://shop60270632.taobao.com)里的部分电子竞赛模块,算打一下广告吧,呵呵!

这些模块既可以配合本店FPGA最小系统板使用,也可以配合其他的单片机或FPGA最小系统板使用,如今年“高教社&XILINX杯”竞赛所用的开发板(EXCD-1开发板)。
1、A/D模块
双路高速AD扩展模块板,此部分主要由两片12位40MSPS的AD9224高速模/数转换芯片组成,可以满足用户对于高速数据采集与处理的要求,用户可以通过FPGA最小系统板来完成对双路AD转换的时序控制、数据的实时处理(如数字滤波、FFT等算法)以及波形的存储。

AD模块 (原文件名:AD模块.JPG)
2、D/A模块
双路高速DA扩展模块板,此部分主要由两片14位125MSPS的AD9764高速数/模转换芯片组成,可以满足用户对于高速信号产生的要求,用户可以借助FPGA最小系统板,利用DDS技术实时产生点频、扫频、ASK、FSK等各种形式的数字信号,并通过控制高速DA转换来得到所需的模拟信号。

DA模块 (原文件名:DA模块.JPG)
3、DDS模块
高速DDS扩展模块板,主要由一片2路模拟输出的AD9854 DDS芯片,以及两片AD8009高速运算放大器组成,可以满足用户对于高速信号产生的要求,用户可以借助FPGA核心模块板,利用通过模式控制和频率字控制实时产生点频、扫频、ASK、FSK等各种形式的模拟信号。

DDS模块 (原文件名:DDS模块.JPG)

DDS模块输出 (原文件名:DDS模块输出.JPG)

出0入0汤圆

发表于 2010-8-11 21:26:13 | 显示全部楼层
回复【130楼】navalguy2
-----------------------------------------------------------------------

楼主开发的模块自成系列,佩服!还有没有其它模块,如控制类或无线类的?

出0入0汤圆

发表于 2010-8-12 10:04:14 | 显示全部楼层
回复【123楼】navalguy2
--------------------------------------------------------------
楼主,请教一下,一般这个脉宽调制用多少频率的,我最近在做这个题训练,所以请教前辈了!

出0入0汤圆

发表于 2010-8-13 09:26:11 | 显示全部楼层
回复【131楼】tangjiamin01
回复【130楼】navalguy2  
-----------------------------------------------------------------------
楼主开发的模块自成系列,佩服!还有没有其它模块,如控制类或无线类的?
-----------------------------------------------------------------------
目前主要还是针对信号类的应用,以后会陆续开发控制类、无线类和电源类等模块。

出0入0汤圆

发表于 2010-8-13 10:22:29 | 显示全部楼层
回复【132楼】yangwei010
回复【123楼】navalguy2  
--------------------------------------------------------------
楼主,请教一下,一般这个脉宽调制用多少频率的,我最近在做这个题训练,所以请教前辈了!
-----------------------------------------------------------------------

我们自己搭建的低通滤波器是10K的,也可以改频率。

10K低通模拟滤波器 (原文件名:10K低通模拟滤波器.JPG)

出0入0汤圆

发表于 2010-8-13 23:23:30 | 显示全部楼层
回复【130楼】navalguy2
-----------------------------------------------------------------------

谢楼主指导~
今晚题目刚刚出来……要求不许用DAC芯片,或微处理器的DAC功能?这该怎么设计啊?

出0入0汤圆

发表于 2010-8-13 23:27:37 | 显示全部楼层
回复【134楼】navalguy2
-----------------------------------------------------------------------

麻烦请教一个问题这个低通滤波器是几阶的?能否有电路图?谢谢~

出0入0汤圆

发表于 2010-8-13 23:48:25 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-8-14 08:59:46 | 显示全部楼层
回复【133楼】navalguy2
-----------------------------------------------------------------------
谢谢前辈了!恩,我知道了,昨天我试着做了一个,效果还不错!还有一个,北京题目中指定的运放是哪个呢?

出0入0汤圆

发表于 2010-8-14 09:04:38 | 显示全部楼层
功能很强大,,后果很严重。。

出0入0汤圆

发表于 2010-8-14 13:03:57 | 显示全部楼层
回复【135楼】sophy_heu 蒋碧涵

回复【130楼】navalguy2  
-----------------------------------------------------------------------
谢楼主指导~
今晚题目刚刚出来……要求不许用dac芯片,或微处理器的dac功能?这该怎么设计啊?
-----------------------------------------------------------------------

可能要自己搭一个DAC电路吧,好象可以用“PWM+低通滤波”来实现DAC的功能。

出0入0汤圆

发表于 2010-8-14 13:08:03 | 显示全部楼层
回复【136楼】sophy_heu 蒋碧涵
回复【134楼】navalguy2  
-----------------------------------------------------------------------
麻烦请教一个问题这个低通滤波器是几阶的?能否有电路图?谢谢~
-----------------------------------------------------------------------

三阶的,电感是每个都是1mH的,电容每个都是0.1uf。

出0入0汤圆

发表于 2010-8-14 22:04:31 | 显示全部楼层
回复【142楼】navalguy2
-----------------------------------------------------------------------

谢了嘿~~楼主强大~

出0入0汤圆

发表于 2010-8-15 22:07:16 | 显示全部楼层
今年黑龙江省赛题目,可以拿来训练一下。

A题:双相信号发生器(本科组)
一、任务
设计、制作一个双相信号发生器,在特定的频率范围内输出正弦波,信号的幅度和相位差可以程控设置,也可以输出矩形波和方波。作品电路中不得使用任何DAC芯片、DDS芯片或微处理器的DAC功能。数字逻辑系统推荐使用EXCD-1 SOC开发板,信号发生器的工作电源可外置。
二、要求
1.基本要求
(1)两路信号均可输出正弦波、方波、矩形波;
(2)两路信号输出最大幅度不低于3V,幅度可调,设置分辨率不低于10bit;
(3)信号频率范围从9.5kHz到10.5kHz可调,步进值不大于100Hz,频率准确度不低于0.1%;
(4)正弦波信号在整个频率设置范围内,波形失真度不大于2%;
(5)两路信号的相位差可以在0—359度内可调,设置分辨力不大于1度;
(6)矩形波占空比在0.1%—99.9%范围内可调,设置分辨率不低于0.1%;
2.发挥部分
(1)两路信号输出最大幅度不低于3.5V,幅度可调,设置分辨率不低于12bit;
(2)正弦信号频率从8kHz到12kHz变化,信号平坦度优于90%;
(3)正弦信号频率从8kHz到12kHz变化,信号失真度不大于2%;
(4)两路正弦信号的相位差可以在0—359.9度内可调,设置分辨力不大于0.1度;
(5)两路均可产生FSK调制波,内调制信号的频率不大于10Hz,上边频为12kHz,下边频8kHz;
(6)两路均可产生ASK调制波,内调制信号的频率不大于10Hz,载波频率为10kHz,调制率为100%;
(7)其他。

这道题的一个难点是不得使用任何DAC芯片,要自己搭建DAC电路。

出0入0汤圆

发表于 2010-8-15 22:12:20 | 显示全部楼层
相比而言,黑龙江省专科组的G题要简单许多。

G题:简易双相信号发生器(专科组)
一、任务
设计、制作一个简易双相信号发生器,在特定的频率范围内输出正弦波,信号的幅度和相位差可以程控设置,也可以输出方波。作品电路中不得使用DDS芯片。数字逻辑系统推荐使用EXCD-1 SOC开发板,信号发生器的工作电源可外置。
二、要求
1.基本要求
(1)两路信号均可输出正弦波、方波;
(2)两路信号输出最大幅度不低于3V,幅度设置垂直分辨率不低于10bit;
(3)信号频率范围为950Hz到1050Hz,频率准确度不低于0.1%;
(4)正弦波信号在整个频率设置范围内,波形失真度小于2%;
(5)两路正弦信号的相位差可以在0—359度内设置,分辨力不低于1度;
2.发挥部分
(1)两路信号输出最大幅度不低于3.5V,幅度设置垂直分辨率不低于12bit;
(2)两路正弦信号的相位差可以在0—359.9度内设置,分辨力不低于0.1度;
(3)正弦信号频率从800Hz到1200Hz变化,信号失真度小于2%;
(4)正弦信号频率从800Hz到1200Hz变化,信号平坦度优于90%;
(5)正弦波均可实现从800Hz到1200Hz范围内的线性扫频功能;
(6)输出信号零点在正负5mV以内;
(7)其他。

这道题只是说不得使用DDS芯片,但可以使用DAC芯片。

出0入0汤圆

发表于 2010-8-19 21:30:52 | 显示全部楼层
回复【144楼】navalguy2
-----------------------------------------------------------------------

难度反而成了搭DAC了。。。。

出0入0汤圆

发表于 2010-8-19 22:42:26 | 显示全部楼层
看上去很美,速度太低了。  用RAM存的波形发生。 频率精度有限。 只能用于竞赛,不实用!

用CORDIC实现的话,算你牛逼了。

出0入0汤圆

发表于 2010-8-19 23:44:37 | 显示全部楼层
不错啊~真的很不错了

出0入0汤圆

发表于 2010-8-21 00:11:38 | 显示全部楼层
回复【147楼】ourdeser 一口粟
看上去很美,速度太低了。  用ram存的波形发生。 频率精度有限。 只能用于竞赛,不实用!
用cordic实现的话,算你牛逼了。
-----------------------------------------------------------------------

竞赛的许多功能都是很实用的,您可以看看历届国赛的技术指标,要是做好了有的都可以当产品卖了,呵呵。

频率精度可以通过增加频率控制字的位数、ROM表的深度等方法来提高。用一般的方法做到0.01Hz也很轻松的。

CORDIC算法已不算什么新方法了,FPGA软件里都有现成的IP核可以调用,用起来也很简单。

出0入0汤圆

发表于 2010-8-23 19:06:55 | 显示全部楼层
回复【147楼】ourdeser 一口粟
看上去很美,速度太低了。  用ram存的波形发生。 频率精度有限。 只能用于竞赛,不实用!
用cordic实现的话,算你牛逼了。
-----------------------------------------------------------------------

下图是用一般查表方法产生的三相正弦波信号,频率是0.01Hz,也没有用到什么CORDIC算法。

(原文件名:0.01Hz.JPG)

出0入0汤圆

发表于 2010-8-26 21:56:57 | 显示全部楼层
回复【150楼】navalguy2
-----------------------------------------------------------------------

这个界面图片是怎么获得的?是虚拟示波器截图吗?用的是国外的仪器吗?菜单都是英文的。

出0入0汤圆

发表于 2010-8-27 20:58:34 | 显示全部楼层
回复【150楼】navalguy2
-----------------------------------------------------------------------

前辈,没办法,我只能回头请教你了,倒腾那个Xilinx DDS IP核好些天了,但是还是不知道怎么回事!ip核直接调用就行!可我怎么与硬件连接,在开发板端口上输出信号。我刚接触,很多都想不明白!DDS IP核里的DATA[26:0],A[4:0]这些信号我是不是还需要给他一个端口。

出0入0汤圆

发表于 2010-8-28 16:24:38 | 显示全部楼层
波形幅度怎么程控呢?

出0入0汤圆

发表于 2010-8-31 16:17:01 | 显示全部楼层
回复【143楼】sophy_heu 蒋碧涵
-----------------------------------------------------------------------

  您好,请问您们的比赛结束了是吗?能否把您们做出的程序给我们参考一下呢?我们现在也在做这个题目,但是做了很多天了还是没有什么结果,现在很迷茫,谢谢你了~~

出0入0汤圆

发表于 2010-8-31 18:52:14 | 显示全部楼层
dingqi

出0入0汤圆

发表于 2010-8-31 19:37:40 | 显示全部楼层
回复【70楼】flybabys
-----------------------------------------------------------------------

您好:楼主把资料发给你了嘛?如果有的话能否再发给我一份呢~~谢谢了(关于正弦信号发生器的那个程序)

出0入0汤圆

发表于 2010-8-31 19:38:58 | 显示全部楼层
回复【115楼】navalguy2
-----------------------------------------------------------------------

您好,请问您们做乒乓球的题目做的怎么样了啊?能否把资料发给我一份呢,谢谢喽

出0入0汤圆

发表于 2010-8-31 20:03:53 | 显示全部楼层
回复【34楼】navalguy
-----------------------------------------------------------------------

楼主:能否给发一下那个51在FPGA里面运行时的那个程序呢?VHDL编写的~~就是您是怎么实现用FPGA实现51单片机功能的呢?

出0入0汤圆

发表于 2010-9-4 20:45:30 | 显示全部楼层
回复【151楼】tangjiamin01
回复【150楼】navalguy2  
-----------------------------------------------------------------------
这个界面图片是怎么获得的?是虚拟示波器截图吗?用的是国外的仪器吗?菜单都是英文的。
-----------------------------------------------------------------------

这个是安捷伦四通道高速数字示波器截图,该示波器可以将波形截图保存,并通过U盘拷贝出来。

出0入0汤圆

发表于 2010-9-4 20:51:39 | 显示全部楼层
回复【152楼】yangwei010
回复【150楼】navalguy2  
-----------------------------------------------------------------------
前辈,没办法,我只能回头请教你了,倒腾那个xilinx dds ip核好些天了,但是还是不知道怎么回事!ip核直接调用就行!可我怎么与硬件连接,在开发板端口上输出信号。我刚接触,很多都想不明白!dds ip核里的data[26:0],a[4:0]这些信号我是不是还需要给他一个端口。
-----------------------------------------------------------------------

IP核可以直接调用,要在程序里对它进行例化,IP核的端口需要在程序中PORT MAP。如果要看DDS输出的数字信号可以直接送到开发板的IO口上观察,如果要看DDS输出的模拟信号波形还需要接一个DA模块,将数字信号变成模拟信号。

出0入0汤圆

发表于 2010-9-4 20:57:27 | 显示全部楼层
回复【153楼】cdyy777
波形幅度怎么程控呢?
-----------------------------------------------------------------------

如果是FPGA控制DA模块输出的话,可以在程序里设置一个幅度控制字,将这个幅度控制字与数字信号相乘,再送给DA输出。

出0入0汤圆

发表于 2010-9-5 21:21:33 | 显示全部楼层
谁有乒乓球的资料,能不能分享一下啊

出0入0汤圆

发表于 2010-9-9 09:23:20 | 显示全部楼层
回复【159楼】navalguy2
回复【151楼】tangjiamin01  
回复【150楼】navalguy2   
-----------------------------------------------------------------------  
这个界面图片是怎么获得的?是虚拟示波器截图吗?用的是国外的仪器吗?菜单都是英文的。
-----------------------------------------------------------------------
这个是安捷伦四通道高速数字示波器截图,该示波器可以将波形截图保存,并通过u盘拷贝出来。
-----------------------------------------------------------------------

明白了,谢谢楼主!这款安捷伦示波器应该不便宜吧。

出0入0汤圆

发表于 2010-9-9 10:48:32 | 显示全部楼层
顶!!!支持开源

出0入0汤圆

发表于 2010-9-11 10:47:24 | 显示全部楼层
山东省电子竞赛元器件清单出来了,有参加的朋友可以讨论一下。

2010年山东省大学生“高教社&XILINX杯”电子设计竞赛

一、本科组使用
主要仪器设备:
数字频率计;标准电感组; 标准电容箱;万用电桥;数字存储示波器;普通频率计;频谱分析仪RIGOL DSA1030;可编程线形直流电源RIGOL DP1308; 数字示波器RIGOL DS6102;三相高精度电压电流信号源;微机保护特性测试仪或电能表;双通道数字示波器;
主要元器件:
EXCD-1可编程片上系统开发板;烟雾收集瓶(代烟雾); DDS集成芯片;烟雾传感器;热释电人体红外传感器;无线收发器;

二、高职高专组使用
主要仪器设备:
低频信号发生器(1Hz~1MHz);高频信号发生器(1MHz~40MHz);光电传感器;角度传感器;隔离变压器(>150W,220V/18V);单相自耦调压器(>200W);低频毫伏表;高频毫伏表;函数发生器;失真度测试仪。
主要元器件:
EXCD-1可编程片上系统开发板;AC SOURCE;5V蓄电池或锂电池;铅酸免维12V、1.2Ah两节蓄电池;小型电动车(最大尺寸300mm×200mm,高度不限);

注:本科组6题,高职高专组4题,每组选择其中的任一题。

出0入0汤圆

发表于 2010-9-11 11:09:35 | 显示全部楼层
超级强人啊

出0入0汤圆

发表于 2010-9-11 13:09:04 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-9-15 20:22:18 | 显示全部楼层
山东省电子设计竞赛各元器件的功能及分析

烟雾传感器:
    就是通过监测烟雾的浓度来实现火灾防范的,其内部采用离子式烟雾传感,离子式烟雾传感器是一种技术先进,工作稳定可靠的传感器,被广泛运用到各种消防报警系统中,性能远优于气敏电阻类的火灾报警器。
  它在内外电离室里面有放射源镅241,电离产生的正、负离子,在电场的作用下各自向正负电极移动。在正常的情况下,内外电离室的电流、电压都是稳定的。一旦有烟雾窜逃外电离室。干扰了带电粒子的正常运动,电流,电压就会有所改变,破坏了内外电离室之间的平衡,于是无线发射器发出无线报警信号,通知远方的接收主机,将报警信息传递出去。

DDS集成芯片:
    是频率合成技术全数字化的频率合成器,由相位累加器、波形ROM、D/A转换器和低通滤波器构成。时钟频率给定后,输出信号的频率取决于频率控制字,频率分辨率取决于累加器位数,相位分辨率取决于ROM的地址线位数,幅度量化噪声取决于ROM的数据位字长和D/A转换器位数。
    DDS有如下优点:⑴ 频率分辨率高,输出频点多,可达 个频点(N为相位累加器位数);⑵频率切换速度快,可达us量级;⑶ 频率切换时相位连续;⑷ 可以输出宽带正交信号;⑸ 输出相位噪声低,对参考频率源的相位噪声有改善作用;⑹可以产生任意波形;⑺ 全数字化实现,便于集成,体积小,重量轻,因此八十年代以来各国都在研制和发展各自的DDS产品,如美国QUALCOMM公司的Q2334,Q2220;STANFORD公司的STEL-1175,STEL-1180;AD公司的AD7008,AD9850,AD9854等。这些DDS芯片的时钟频率从几十兆赫兹到几百兆赫兹不等,芯片从一般功能到集成有D/A转换器和正交调制器。

热释电红外传感器:
    主要是由一种高热电系数的材料,如锆钛酸铅系陶瓷、钽酸锂、硫酸三甘钛等制成尺寸为2*1mm的探测元件。在每个探测器内装入一个或两个探测元件,并将两个探测元件以反极性串联,以抑制由于自身温度升高而产生的干扰。由探测元件将探测并接收到的红外辐射转变成微弱的电压信号,经装在探头内的场效应管放大后向外输出。为了提高探测器的探测灵敏度以增大探测距离,一般在探测器的前方装设一个菲涅尔透镜,该透镜用透明塑料制成,将透镜的上、下两部分各分成若干等份,制成一种具有特殊光学系统的透镜,它和放大电路相配合,可将信号放大70分贝以上,这样就可以测出10~20米范围内人的行动。

角度传感器:
    顾名思义,是用来检测角度的。它的身体中有一个孔,可以配合乐高的轴。当连结到RCX上时,轴每转过1/16圈,角度传感器就会计数一次。往一个方向转动时,计数增加,转动方向改变时,计数减少。计数与角度传感器的初始位置有关。当初始化角度传感器时,它的计数值被设置为0,如果需要,你可以用编程把它重新复位。你可以很容易的测出位置和速度。当在机器人身上连接上轮子(或通过齿轮传动来移动机器人)时,可以依据旋转的角度和轮子圆周数来推断机器人移动的距离。然后就可以把距离转换成速度,你也可以用它除以所用时间。

光电传感器:
    是采用光电元件作为检测元件的传感器。它首先把被测量的变化转换成光信号的变化,然后借助光电元件进一步将光信号转换成电信号。光电传感器一般由光源、光学通路和光电元件三部分组成。
    原理:由光通量对光电元件的作用原理不同所制成的光学测控系统是多种多样的,按光电元件(光学测控系统)输出量性质可分二类,即模拟式光电传感器和脉冲(开关)式光电传感器.模拟式光电传感器是将被测量转换成连续变化的光电流,它与被测量间呈单值关系.模拟式光电传感器按被测量(检测目标物体)方法可分为透射(吸收)式,漫反射式,遮光式(光束阻档)三大类.所谓透射式是指被测物体放在光路中,恒光源发出的光能量穿过被测物,部份被吸收后,透射光投射到光电元件上;所谓漫反射式是指恒光源发出的光投射到被测物上,再从被测物体表面反射后投射到光电元件上;所谓遮光式是指当光源发出的光通量经被测物光遮其中一部份,使投射刭光电元件上的光通量改变,改变的程度与被测物体在光路位置有关。

隔离变压器:
    隔离变压器的原理和普通变压器的原理是一样的。都是利用电磁感应原理。隔离变压器一般是指1:1的变压器。由于次级不和地相连。次级任一根线与地之间没有电位差。使用安全。常用作维修电源。

铅酸蓄电池: 
    不需维护,电池在整个使用寿命期间不需要加水补液。 可靠性高、使用寿命长,特殊的密封结构和阻燃外壳,在使用过程中不会产生泄漏电解液的缺陷。 重量、体积比能量高,内阻小,输出功率高。 自放电小,每月的自放电率不大于2%。 满荷电出厂,无流动的电解液,运输安全。

出0入0汤圆

发表于 2010-9-15 20:36:48 | 显示全部楼层
山东省电子设计竞赛赛前预测(纯属个人观点)

信号类预测题1:数字万用表,测量电感、电容、电阻、万用电桥;还可能包括交直流电压、电流、三相电源的相关参数,虽然感觉很基本,但是整合到一块是关键,最重要的是可能自动量程。

信号类预测题2:高频扫频仪,DDS输出高频扫频信号,送入一个被测网络,要求测量被测网络的幅频和相频曲线。曲线的显示可能会用双通道示波器。

后天就要正式比赛了,不知大家准备地怎样,一起努力!

出0入0汤圆

发表于 2010-9-15 20:58:36 | 显示全部楼层
回复【169楼】navalguy2
-----------------------------------------------------------------------

你这万用表也太万用了吧???

出0入0汤圆

发表于 2010-9-16 19:10:34 | 显示全部楼层
等待明天的题目

出0入0汤圆

发表于 2010-9-17 11:09:53 | 显示全部楼层
山东题目出来了,题目猜对一部分。原来以为会合在一起,实际出成了两道题。

B题  电阻电容电感测试仪的设计与制作
一、任务
设计、制作一个电阻、电容、电感测试仪和测试所用的信号发生器。(不准用现成仪表改制)。
二、要求
1 .基本要求
( 1 )自制一个测试用的正弦信号发生器,输出信号的频率范围1Hz~1MHz ,峰值Vm≥5V,输出阻抗≤50Ω。输出信号的频率和峰值都连续可调。
( 2 )测量范围:电阻 1 Ω ~ 5M Ω ;电容 10pF ~ 10μF ;电感 10 μ H ~ 100mH 。
( 3 )测量误差:各档均 ≤±5%
( 4 )显示部分可选用LED或LCD,但应能明确表示出项目和量纲,有效数字4位。可调出最近十次的测量结果显示,显示内容应包括测试的时间、元件类型、参数。
2 .发挥部分
( 1 )测量并显示电感的Q值,Q值范围20~300。同时显示测量频率。
( 2 )能通过键盘设定信号频率、测试对象和量程。
( 3 )测量量程手动或自动转换。
( 4 )其它

E题  三相多功能电表
一、任务
设计并制作一个能同时对三相工频交流电(频率波动范围为45-65Hz)的三相电压、三相电流、三相有功功率、三相无功功率、总有功功率、总无功功率、功率因数、电网频率、有功电能和无功电能进行测量的数字式多用表。
二、要求
1. 基本要求
(1)测量功能及技术参数
A.三相额定交流相电压:100V/220V/380V,过载能力:持续1.2倍、瞬时电流10倍/5秒;
B.三相额定交流电流:1A,过载能力:持续1.2倍、瞬时电压2倍/1秒;
C.三相三线或者四线任意选择;
D.实现电压和电流相序检测功能;
(2)准确度
测量精度:1级
(3)功能选择:
A.用按键选择交流电压、交流电流、有功功率、无功功率、频率和功率因数等的测量与显示;
B.有掉电存储功能。
2.发挥部分
A.利用按键实现密码保护功能;
B.标准RS-485通讯来实现远程测量,通信距离100米以上。
C.加入红外通信,通信距离3米以上;
D.其它(例如扩展功能,提高性能等)。

出0入0汤圆

发表于 2010-9-17 11:17:11 | 显示全部楼层
E题绝对不是让你用fpga做,直接上专用电能计量芯片

出0入0汤圆

发表于 2010-9-19 13:06:07 | 显示全部楼层
今年山东好几道题目都要用到高速AD模块,来看一下C题,想实现全部功能也是不容易的。

C题   VGA显示的多通道数字示波器
【本科组】
一、任务
   使用指定的FPGA实验平台(含EXCD-1开发板)设计多通道数字示波器,示波器显示使用普通的VGA显示器。
二、要求
1.基本要求
   (1)示波器实时采样频率为10MHz,A/D转换精度为10bits。
   (2)模拟信号输入电压为-2.5V---+2.5V,频率为0-1MHz。
   (3)两路数字信号输入。数字信号低电平为0V,高电平为3.3V,频率为0-1MHz。
(4)显示采用普通的VGA显示器(分辨率640×480即可)。显示方向和普通示波器方向相同(水平方向为时间,垂直方向为幅度),显示时间轴支持1us/div,1ms/div,1s/div。幅度支持10mv/div,100mv/div,1v/div。波形周期误差和幅度误差≤5%。
(5)显示波形稳定,支持实时显示和存储显示。实时显示时可以暂停,存储显示时存储深度为显示器一屏的数据。支持波形的手动存储功能,手动存储的波形掉电不丢失。
   (6)可以单独显示任意一个通道,也可以多通道同时显示,多通道同时显示时不同的通道要用不同的颜色进行区分。
(7)数字信号要求参赛人员自行产生,A路要求占空比为50%,B路要求占空比为25%,并且要求B相比A相延时45°。两路数字信号频率相同并且可以100KHz步进。要留出自行产生的数字信号的测试点。
(8)模拟信号支持任意电平触发,数字信号支持上升沿和下降沿触发。
2.发挥部分
   (1)自行产生的A路数字信号与与输入模拟信号同频同相。B路和A路的关系仍然保持不变。
   (2)存储深度增加一倍,存储显示时支持图像的左移和右移。
   (3)存储显示支持正延时,负延时。正负延时点数可以人为设定,设定方式步进和手动输入任选其一。
   (4)显示器上有中文提示时间刻度,幅度刻度,通道对应的颜色。
   (5)显示界面尽量贴近普通示波器。
   (6)其他。
  三、说明
1.VGA显示器使用普通的PC显示器即可,可以是液晶显示器,也可以是CRT显示器,无需自制。
2.本题示波器的存储显示功能通过检测按键的抖动波形进行测试,按键电路自制。
3.设计报告正文中应包括系统总体框图、核心电路原理图、主要流程图、主要的测试结果。完整的电路原理图、重要的源程序和完整的测试结果可用附件给出。

这道题的难点是高速AD采集控制、存储和VGA显示。尤其是VGA显示,以前练的比较少,想在屏幕任意位置打点还是有技巧的。下面是在EXCD-1开发板上的简单实现效果,配合的就是双路高速AD模块(http://item.taobao.com/item.htm?id=4148383568)。


VGA显示的多通道数字示波器 (原文件名:VGA显示的多通道数字示波器.JPG)

出0入0汤圆

发表于 2010-9-20 20:59:54 | 显示全部楼层
回复【174楼】navalguy2
-----------------------------------------------------------------------

楼主,请问XILINX板子里面的flash怎么用啊?谢谢了

出0入0汤圆

发表于 2010-9-20 21:06:10 | 显示全部楼层
回复【175楼】shanshan
-----------------------------------------------------------------------

请问打点是怎么实现的呢?谢谢了

出0入0汤圆

发表于 2010-9-23 15:15:24 | 显示全部楼层
回复【176楼】shanshan
回复【175楼】shanshan  
-----------------------------------------------------------------------
请问打点是怎么实现的呢?谢谢了

-----------------------------------------------------------------------

AD采集的数据送往FPGA内部RAM存储,等RAM存满后,将数据送往VGA显示。VGA是逐行扫描的,注意每行扫描的地址要和RAM的存储地址保持同步。

出0入0汤圆

发表于 2010-10-5 16:55:14 | 显示全部楼层
回复【177楼】navalguy2
-----------------------------------------------------------------------
ad采集的数据送往fpga内部ram存储,等ram存满后,将数据送往vga显示。vga是逐行扫描的,注意每行扫描的地址要和ram的存储地址保持同步。
-----------------------------------------------------------------------

请问楼主是用51内核还是Microbalze软核来实现的?刷新速度能保证吗?

出0入0汤圆

发表于 2010-10-5 17:24:10 | 显示全部楼层
今年我们省的题有两个必须用xilinx的FPGA

出0入0汤圆

发表于 2010-10-5 18:17:12 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-10-6 20:31:24 | 显示全部楼层
回复【112楼】navalguy2
-----------------------------------------------------------------------

请问楼主,按您的程序下进去界面有了,但程序好像不运行一样,按什么键都不起作用?请问这是为什么?

谢谢

出0入0汤圆

发表于 2010-10-12 16:45:20 | 显示全部楼层
好强啊   好好学习了!!

出0入0汤圆

发表于 2010-10-13 15:57:09 | 显示全部楼层
楼主能不能把正选波发生器的那个资料贴出来呢?

出0入0汤圆

发表于 2010-10-13 16:37:32 | 显示全部楼层
如何在FPGA里跑51程序啊??

出0入0汤圆

发表于 2010-10-15 21:48:02 | 显示全部楼层
太牛了,感想挺深的,好好学习啊

出0入0汤圆

发表于 2010-10-16 20:26:41 | 显示全部楼层
回复【178楼】tangjiamin01
-----------------------------------------------------------------------

请问楼主是用51内核还是microbalze软核来实现的?刷新速度能保证吗?
-----------------------------------------------------------------------

用VHDL代码写的,VGA界面时序稍有些复杂。用软核应该做VGA显示界面应该会简单一些。不过VHDL代码移植性会很好。

出0入0汤圆

发表于 2010-10-16 20:29:46 | 显示全部楼层
回复【179楼】doramin
今年我们省的题有两个必须用xilinx的fpga
-----------------------------------------------------------------------

是的,A题(瞬态响应测试仪)和C题(VGA显示的多通道数字示波器)必须用EXCD-1开发板。

出0入0汤圆

发表于 2010-10-16 20:31:37 | 显示全部楼层
回复【181楼】guxingganyue
-----------------------------------------------------------------------
请问楼主,按您的程序下进去界面有了,但程序好像不运行一样,按什么键都不起作用?请问这是为什么?
谢谢
-----------------------------------------------------------------------

是在EXCD-1开发板跑吗?程序运行没问题,键盘好用。

出0入0汤圆

发表于 2010-10-16 20:44:31 | 显示全部楼层
回复【183楼】heibaogame 黑豹
楼主能不能把正选波发生器的那个资料贴出来呢?
-----------------------------------------------------------------------

正弦波发生器主要是DDS技术,通过频率字来控制输出的信号频率。下面是一段简单的FPGA+DA输出正弦波的测试程序,用到了SIN表IP核,完整的代码还要加上矩阵键盘和LCD显示等功能。

--*********************************************************************   
--* 标题: 正弦信号发生器简单测试程序
--* 文件: topdesign.vhd  
--* 作者: SJ      
--* 日期: 2009.09.22
--* 修改: 2009.12.20   
--* 软件: Xilinx ISE 7.1 SP4  
--* 芯片: Xilinx Spartan2 FPGA (XC2S200-PQ208)   
--* 说明: 外接40M晶振作为时钟,通过查找FPGA内部SIN表,送给DA,输出正弦波。频率可以任意设置,输出频率范围:1Hz-10MHz。
--*********************************************************************
library IEEE;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
------------------------------------------------
--实体
entity topdesign is
    port (
          --时钟信号
          clk:in STD_LOGIC  ;  --系统主时钟,可直接接晶振(40M)
          da2_clk:out std_logic;  --给DA的时钟
          da2_d:out std_logic_vector(13 downto 0)  --给DA的数据
    );
end topdesign;
------------------------------------------------
--构造体
architecture topdesign_arch of topdesign is
------------------------------------------------
signal sin_in          : std_logic_vector(11 downto 0);
signal sin_out         : std_logic_vector(15 downto 0);
------------------------
signal dds_phase_add  : std_logic_vector(31 downto 0);
signal dds_out        : std_logic_vector(15 downto 0);
signal dds_freq       : std_logic_vector(31 downto 0);

signal dds_freq_value     : integer range 0 to  2147483647;
------------------------------------------------
component dds_sin IS         --调用IP核,查表产生波形
        port (
        THETA   : IN std_logic_VECTOR(11 downto 0);--频率控制字输入
        CLK     : IN std_logic;        --时钟输入
        SINE    : OUT std_logic_VECTOR(15 downto 0)); --正弦表查表输出
END component;
------------------------------------------------
--主程序
begin
-------------------sine_map---------------------
u0:dds_sin port map(
            THETA => sin_in,
            CLK   => clk,
            SINE  => sin_out);
------------------------------------------------
dds_freq_value<=1000000;    --设置输出频率(单位Hz)
dds_freq <= conv_std_logic_vector(dds_freq_value*107,32);  --将设置的十进制数转化为二进制码(2^32*1Hz/40M=107.37,表示1Hz对应的频率码)
------------------------
da2_clk <=clk;  --给DA的时钟
------------------------------------------------
process(clk)       --信号处理进程
  begin
    if clk'event and clk='1' then
       dds_phase_add<=dds_phase_add+dds_freq;
    end if;
       sin_in <= dds_phase_add(31 downto 20);
       dds_out <=sin_out;
       da2_d <=(not dds_out(15)) & dds_out(14 downto 2);
end process;
------------------------------------------------
end topdesign_arch;

出0入0汤圆

发表于 2010-10-16 20:54:10 | 显示全部楼层
回复【184楼】heibaogame 黑豹
如何在fpga里跑51程序啊??
-----------------------------------------------------------------------
在82楼已有介绍。再贴一遍好了。

目前网上公开发布的几个比较典型的805l IP核,如DW8051核.OpenCore组织的0C8051核及T51核,MC805l核等。这些IP核都是采用HDL语言描述的软MCU内核,其与工艺无关,能够在多种FPGA上进行逻辑综合及实现。
    我使用的是Oregano公司开发的1.5版本免费的MC8051 IP核,它具有如下特点:1)完全同步的设计;2)指令集与标准的8051 MCU完全兼容;3)采用优化的内核结构,指令周期较原MCS-51系列大幅提高,每一个指令仅占用1~4个时钟周期;4)256字节内部的RAM,最大可提供64KB的ROM和64KB的RAM资源。
    在FPGA中嵌入的8051内核,具有与51单片机类似的存储器结构,这些存储器的设计均使用FPGA芯片中的Block RAM资源,通过Xilinx提供的Core Generator工具实现。Core Generator是一个完整的设计工具,用来生成设计所需要的IP核,它既可以单独运行,也可以在ISE设计工具内执行。在设计中,要用到单片机中的三种存储区,分别为DATA,XDATA和CODE,这些存储区都是通过配置RAM & ROM中的单端口Block RAM(Single-Port Block RAM)IP核实现的。
    MC8051 IP核的设计过程共包括如下5个步骤:
1)用Keil 编译8051的代码。
2)将生成的hex文件用hex->bin工具转成bin文件;
3)用bin->coe工具将hex文件转成coe文件;
4)在core generator 生成的ROM中指明coe文件的位置;
5)编译、下载到FPGA芯片。

出0入0汤圆

发表于 2010-10-16 22:14:11 | 显示全部楼层
回复【186楼】navalguy2
-----------------------------------------------------------------------

楼主好强大啊,能不能把VGA实现波形显示的VHDL程序发出来看看啊,感觉好新鲜啊,想了解一下

出0入0汤圆

发表于 2010-10-19 20:19:24 | 显示全部楼层
回复【186楼】navalguy2
回复【178楼】tangjiamin01  
-----------------------------------------------------------------------
请问楼主是用51内核还是microbalze软核来实现的?刷新速度能保证吗?
-----------------------------------------------------------------------
用vhdl代码写的,vga界面时序稍有些复杂。用软核应该做vga显示界面应该会简单一些。不过vhdl代码移植性会很好。
-----------------------------------------------------------------------

用VHDL代码控制VGA时序应该很复杂吧,楼主的VHDL编程功力了得。

出0入0汤圆

发表于 2010-10-21 22:56:55 | 显示全部楼层
回复【191楼】haojianppp
回复【186楼】navalguy2  
-----------------------------------------------------------------------
楼主好强大啊,能不能把vga实现波形显示的vhdl程序发出来看看啊,感觉好新鲜啊,想了解一下
-----------------------------------------------------------------------

VGA显示的时序控制可以参考EXCD板上的VGA显示和俄罗斯方块例程。

VGA例程ourdev_591840J8IBVB.rar(文件大小:4.89M) (原文件名:VGA例程.rar)

出0入0汤圆

发表于 2010-10-22 14:54:44 | 显示全部楼层
楼主 perfect

出0入0汤圆

发表于 2010-10-23 18:01:58 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-10-23 20:04:01 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-10-23 21:54:35 | 显示全部楼层
标记一下。 XILINX Fpga

出0入0汤圆

发表于 2010-10-25 19:08:09 | 显示全部楼层
回复【193楼】navalguy2
回复【191楼】haojianppp  
回复【186楼】navalguy2   
-----------------------------------------------------------------------  
楼主好强大啊,能不能把vga实现波形显示的vhdl程序发出来看看啊,感觉好新鲜啊,想了解一下
-----------------------------------------------------------------------
vga显示的时序控制可以参考excd板上的vga显示和俄罗斯方块例程。  

-----------------------------------------------------------------------

非常感谢楼主的热心解答,看了一下俄罗斯方块游戏程序,是纯VHDL代码编的,感觉程序很复杂,没怎么看懂。

出0入0汤圆

发表于 2010-10-30 20:29:53 | 显示全部楼层
回复【198楼】tangjiamin01
回复【193楼】navalguy2  
回复【191楼】haojianppp   
回复【186楼】navalguy2   
-----------------------------------------------------------------------
非常感谢楼主的热心解答,看了一下俄罗斯方块游戏程序,,是纯VHDL代码编的,感觉程序很复杂,没怎么看懂。
-----------------------------------------------------------------------

这个程序好像是一个老外编写的,代码写得很规范,值得好好学习。

出0入0汤圆

发表于 2010-10-31 14:07:02 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-10-31 15:58:28 | 显示全部楼层
支持!!!

出0入0汤圆

发表于 2010-11-4 11:16:02 | 显示全部楼层
回复【198楼】tangjiamin01
-----------------------------------------------------------------------

好难啊感觉,山东VGA显示的数字示波器的电竞大赛已经结束了,楼主有没有做啊,能不能把做好的发出来让我们看看啊

出0入0汤圆

发表于 2010-11-6 20:42:01 | 显示全部楼层
大善人 超感謝

出0入0汤圆

发表于 2010-11-8 11:29:38 | 显示全部楼层
回复【3楼】navalguy  
谢谢dr2001的建议,我们正考虑采用新的xlinx s3e系列和altera系列fpga。
当时选择xc2s200是因为spartan ii系列fpga的i/o口兼容3.3v/5.0v电平,便于和一些5.0v电平电路直接连接。这款xilinx fpga电子竞赛套件的扩展性是很好的,可以直接用来参加电子竞赛。
-----------------------------------------------------------------------

你有xilinx xc3s1400A or Altera EP3C25 的核心板吗?或比这容量大的核心板?我有个实验急需这样的板。

出0入0汤圆

发表于 2010-11-11 00:00:21 | 显示全部楼层
回复【174楼】navalguy2
-----------------------------------------------------------------------

楼主 您好,一直在关注你的帖子,在你的帮助下对FPGA有了一定的了解。不知道您这个VGA显示代码怎么写的,是用micropraze软核写的吗?有具体的源代码吗?因为11月13号,天津赛区比赛出的题是要求用上显示器的。而且比赛时间就8小时,能帮我分析一下题吗

出0入0汤圆

发表于 2010-11-11 00:32:19 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-11-15 17:24:10 | 显示全部楼层
支持!!!

出0入0汤圆

发表于 2010-11-17 00:02:49 | 显示全部楼层
支持

出0入0汤圆

发表于 2010-11-17 14:49:51 | 显示全部楼层
支持
请发一下,dds_sin的vhdl程序文件

出0入0汤圆

发表于 2010-11-18 11:04:17 | 显示全部楼层
支持

出0入0汤圆

发表于 2010-11-18 16:49:19 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-11-19 19:44:40 | 显示全部楼层
回复【3楼】navalguy
-----------------------------------------------------------------------
顶 我用ep3c25q240c8  只支持3.3v 擦  弄个电机 接5v电源  给个脉冲都不转  非得接2.5V电源才行

出0入0汤圆

发表于 2010-11-19 22:34:23 | 显示全部楼层
回复【204楼】lisi1964
-----------------------------------------------------------------------
你有xilinx xc3s1400a or altera ep3c25 的核心板吗?或比这容量大的核心板?我有个实验急需这样的板。
-----------------------------------------------------------------------

目前还没有开发xilinx xc3s1400a or altera ep3c25 FPGA核心板,手头有100万门的XC3S1000核心板。


XC3S1000核心板 (原文件名:XC3S1000核心板.jpg)

出0入0汤圆

发表于 2010-11-19 22:53:29 | 显示全部楼层
回复【205楼】All-Star
回复【174楼】navalguy2  
-----------------------------------------------------------------------
楼主 您好,一直在关注你的帖子,在你的帮助下对fpga有了一定的了解。不知道您这个vga显示代码怎么写的,是用micropraze软核写的吗?有具体的源代码吗?因为11月13号,天津赛区比赛出的题是要求用上显示器的。而且比赛时间就8小时,能帮我分析一下题吗
-----------------------------------------------------------------------

VGA代码是用VHDL代码写的,用Microblae软核控制也可以的。不知道天津比赛什么题目,是不是已经比赛完了,贴出来大家讨论一下吧。

出0入0汤圆

发表于 2010-11-20 10:06:35 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-11-25 00:19:49 | 显示全部楼层
回复【68楼】navalguy
-----------------------------------------------------------------------

楼主能不能 把三相大功率高速DA模块板的原理图上传

出0入0汤圆

发表于 2010-11-28 20:15:19 | 显示全部楼层
回复【209楼】engely 爱诗
支持
请发一下,dds_sin的vhdl程序文件
-----------------------------------------------------------------------

dds_sin是调用SIN表IP核自动生成的。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-3-28 23:51

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表