搜索
bottom↓
楼主: navalguy

秀一下俺做的一款XILINX FPGA电子竞赛套件

  [复制链接]

出0入0汤圆

发表于 2010-11-28 20:19:17 | 显示全部楼层
回复【212楼】Franks2599
回复【3楼】navalguy  
-----------------------------------------------------------------------
顶 我用ep3c25q240c8  只支持3.3v 擦  弄个电机 接5v电源  给个脉冲都不转  非得接2.5v电源才行
-----------------------------------------------------------------------

是的,当时选择XC2S200是因为SPARTAN II系列FPGA的I/O口兼容3.3V/5.0V电平,便于和一些5.0V电平电路直接连接,SPARTAN II系列FPGA是5V容忍的。

出0入0汤圆

发表于 2010-11-28 20:31:40 | 显示全部楼层
COOL

出0入0汤圆

发表于 2010-12-2 12:22:53 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-12-3 11:02:02 | 显示全部楼层
请教楼主
23楼  中  双通道数据采集器上位机程序
这个能否共享

出0入0汤圆

发表于 2010-12-8 00:48:22 | 显示全部楼层
支持

出0入0汤圆

发表于 2010-12-8 17:50:05 | 显示全部楼层
秀一下最近调试的DDS(AD9854)模块,这款DDS模块的高频性能还是很不错的,配合高速运放,可以输出较大幅度的高频模拟信号,运放的放大倍数可调。
电子竞赛模块—高速DDS(AD9854)扩展模块板,主要由一片2路模拟输出的AD9854ASQ(最高时钟频率可达300MHz,双路正交输出)DDS芯片,以及两片AD8009高速运算放大器组成,可以满足高速信号产生的要求,借助FPGA核心模块板或单片机板,通过模式控制和频率字控制实时产生点频、扫频、ASK、FSK等各种形式的模拟信号。

DDS(ad9854)模块板+FPGA板联调 (原文件名:DDS(ad9854)模块板+FPGA板联调.JPG)
DDS输出波形(10MHz,Vpp=5.04V,双路正交):

DDS输出波形(10M) (原文件名:DDS输出波形(10M).JPG)
DDS输出波形(20MHz,Vpp=4.96V,双路正交):

DDS输出波形(20M) (原文件名:DDS输出波形(20M).JPG)
DDS输出波形(40MHz,Vpp=4.80V,双路正交):

DDS输出波形(40M) (原文件名:DDS输出波形(40M).JPG)
DDS输出波形(80MHz,Vpp=2.96V,双路正交):

DDS输出波形(80M) (原文件名:DDS输出波形(80M).JPG)

出0入0汤圆

发表于 2010-12-9 12:16:29 | 显示全部楼层
支持开源共同进步。呵呵(我呢就是学习了)

出0入0汤圆

发表于 2010-12-11 22:48:56 | 显示全部楼层
回复【221楼】gamep
请教楼主  
23楼  中  双通道数据采集器上位机程序
这个能否共享
-----------------------------------------------------------------------

下面这段代码是一个比较初级的例程,包括USB驱动调用、采集和显示功能,希望能有所帮助。
点击此处下载 ourdev_604060PYUX1O.rar(文件大小:6K) (原文件名:USBHOSTSAMPLE.rar)

出0入0汤圆

发表于 2010-12-12 09:19:00 | 显示全部楼层
回复【217楼】navalguy2
-----------------------------------------------------------------------

3A也可以接受5V的TTL电平的,我试过,没问题。

出0入0汤圆

发表于 2010-12-13 16:12:44 | 显示全部楼层
回复【225楼】navalguy2  
-----------------------------------------------------------------------

非常感谢
向楼主学习

出0入0汤圆

发表于 2010-12-17 16:20:10 | 显示全部楼层
mark一下!佩服!

出0入0汤圆

发表于 2010-12-22 09:27:50 | 显示全部楼层
回复【226楼】weeren 倘余清
回复【217楼】navalguy2  
-----------------------------------------------------------------------
3a也可以接受5v的ttl电平的,我试过,没问题。
-----------------------------------------------------------------------

据我的了解,Spartan3和3E都不是5V容忍的。Spartan3A和3AN没用过,查了一下手册,上面说Spartan3A和3AN也不是5V容忍的,若要和5V器件相连的话,需要串接限流电阻。

(原文件名:spartan-3A 5V tolerant.JPG)

出0入0汤圆

发表于 2010-12-22 14:32:47 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-12-26 09:55:09 | 显示全部楼层
回复【223楼】navalguy2
-----------------------------------------------------------------------

DDS(AD9854)模块输出的双路正弦波是正交的吗?可以独立控制每路输出的波形吗?

出0入25汤圆

发表于 2010-12-26 22:32:44 | 显示全部楼层
膜拜
收藏

出0入0汤圆

发表于 2010-12-26 23:32:27 | 显示全部楼层
收藏起来

出0入0汤圆

发表于 2010-12-29 12:33:58 | 显示全部楼层
回复【231楼】tangjiamin01
-----------------------------------------------------------------------
dds(ad9854)模块输出的双路正弦波是正交的吗?可以独立控制每路输出的波形吗?
-----------------------------------------------------------------------

是正交的,相位差90度。可通过模式控制和频率字控制实时产生点频、扫频、ASK、FSK等各种形式的模拟信号,但每路不是独立的。

出0入0汤圆

发表于 2010-12-29 16:28:15 | 显示全部楼层
楼主强人。

出0入0汤圆

发表于 2011-1-2 10:08:48 | 显示全部楼层
回复【234楼】navalguy2
回复【231楼】tangjiamin01  
-----------------------------------------------------------------------  
dds(ad9854)模块输出的双路正弦波是正交的吗?可以独立控制每路输出的波形吗?
-----------------------------------------------------------------------
是正交的,相位差90度。可通过模式控制和频率字控制实时产生点频、扫频、ask、fsk等各种形式的模拟信号,但每路不是独立的。
-----------------------------------------------------------------------

楼主强大,再问一下,这个dds(ad9854)模块后面有放大电路吗?幅度可以放大到多少伏?

出0入0汤圆

发表于 2011-1-4 12:40:13 | 显示全部楼层
回复【161楼】navalguy2
回复【153楼】cdyy777  
波形幅度怎么程控呢?
-----------------------------------------------------------------------
如果是fpga控制da模块输出的话,可以在程序里设置一个幅度控制字,将这个幅度控制字与数字信号相乘,再送给da输出。
-----------------------------------------------------------------------

收藏学习了 现在还在入门中

出0入0汤圆

发表于 2011-1-7 12:27:14 | 显示全部楼层
回复【236楼】tangjiamin01
-----------------------------------------------------------------------   
楼主强大,再问一下,这个dds(ad9854)模块后面有放大电路吗?幅度可以放大到多少伏?
-----------------------------------------------------------------------

有AD8009高速运放电路, 板子上有给AD8009运放供电的+5V和-5V稳压电源,幅度可以通过调节运放电路的放大倍数控制,最大可以放大到Vpp=10V。

出0入0汤圆

发表于 2011-1-13 23:41:08 | 显示全部楼层
回复【238楼】navalguy2
-----------------------------------------------------------------------
有ad8009高速运放电路, 板子上有给ad8009运放供电的+5v和-5v稳压电源,幅度可以通过调节运放电路的放大倍数控制,最大可以放大到vpp=10v。
-----------------------------------------------------------------------

非常感谢,楼主的这些板子都是自己设计的吗?十分的强悍啊!

出0入0汤圆

发表于 2011-1-18 11:45:09 | 显示全部楼层
由于高校有许多老师和学生一直在用ALTERA芯片,因此一直有许多网友询问能否开发出ALTERA电子竞赛套件。经过大半年的努力,ALTERA电子竞赛套件也已经开发出来了。
目前,FPGA电子竞赛套件的所有竞赛实例已经同时在XILINX和ALTERA平台上成功实现。两个版本的技术指标基本相同。
FPGA电子竞赛套件(XILINX高级版)相关介绍:http://item.taobao.com/item.htm?id=4146534554。
FPGA电子竞赛套件(ALTERA高级版)相关介绍:http://item.taobao.com/item.htm?id=9082930230。

出0入0汤圆

发表于 2011-1-24 13:26:16 | 显示全部楼层
Altera套件的FPGA核心模块板和以前做的xilinx套件的FPGA核心模块板管脚都是兼容的,所以扩展底板都是可以共用的。 Altera套件核心模块板采用的是Altera公司Cyclone系列FPGA芯片(EP1C6Q240或EP1C12Q240)。

cyclone核心板 (原文件名:cyclone核心板.JPG)
下面是两款套件实现“简易数字频率计(1997年B题)”功能的对比效果图:

简易数字频率计(XILINX套件) (原文件名:简易数字频率计(XILINX套件).JPG)

简易数字频率计(ALTERA套件) (原文件名:简易数字频率计(ALTERA套件).JPG)
从实际指标效果来看,对于相同的测频算法,XILINX套件所能测得的最高信号频率比ALTERA套件略好一些。

出0入0汤圆

发表于 2011-1-28 13:33:11 | 显示全部楼层
回复【241楼】navalguy2
-----------------------------------------------------------------------

试了下ACTEL的芯片,发现软件很人性化,下载不太方便有点慢,能直接下载IP核,8051什么的可以直接下下来,不知好用不好用
总体感觉那个软件比X和A上手都容易...

出0入0汤圆

发表于 2011-2-4 16:07:52 | 显示全部楼层
回复【242楼】djp1
-----------------------------------------------------------------------
试了下actel的芯片,发现软件很人性化,下载不太方便有点慢,能直接下载ip核,8051什么的可以直接下下来,不知好用不好用  
总体感觉那个软件比x和a上手都容易...
-----------------------------------------------------------------------

爱特公司 (Actel Corporation)是全球四大知名的FPGA厂商之一。ACTEL公司成立于1985年,位于美国纽约。之前的20多年里,ACTEL一直效力于美国_军工和航空领域,并禁止对外出售。国内一些特殊领域的企业都是采用其它途径购买军工级型号。目前ACTEL开始逐渐转向民用和商用,除了反熔丝系列外,还推出可重复擦除的ProASIC3系列。其独特的Flash架构的FPGA在单芯片、低功耗、安全性、可靠性、模数混合方面有着卓越的表现。
俺没用过ACTEL的FPGA芯片,目前国内XILINX和ALTERA的用户还是占大多数。不过国内市场已有不少公司开始推广ACTEL的FPGA技术,例如广州周立功的Fusion StartKit FPGA开发平台,就是基于Actel 公司的Fusion混合信号FPGA而设计的开发平台,在第三代Flash架构的FPGA——ProASIC3基础上,将模拟的AD、RC振荡器、模拟I/O、RTC等融入到数字的FPGA中,为实现真正的SOC提供特有的解决方案。

出0入0汤圆

发表于 2011-2-6 17:52:03 | 显示全部楼层
回复【4楼】DanielDeng
-----------------------------------------------------------------------

2.5元一个的抑郁而过

出0入0汤圆

发表于 2011-2-11 18:01:06 | 显示全部楼层
回复【4楼】DanielDeng
那些sma的射频插座接的什么信号?这种插座多少钱一个?
-----------------------------------------------------------------------

回复【5楼】ngzhang 兽哥
5毛一个。
-----------------------------------------------------------------------

回复【244楼】bg2bkk  
-----------------------------------------------------------------------
2.5元一个的抑郁而过
-----------------------------------------------------------------------

SMA射频插座比较贵,我买的是2元1个,5毛1个不知是在哪买的?

出0入0汤圆

发表于 2011-2-16 23:21:19 | 显示全部楼层
mark,关注中……

出0入0汤圆

发表于 2011-2-27 12:57:47 | 显示全部楼层
目前,“简易数字频率计、数字有效值电压表、移相信号发生器、数字相位测量仪、简易逻辑分析仪、正弦信号发生器、数字存储示波器、程控滤波器”等竞赛实例已经同时在XILINX和ALTERA平台上成功实现。正在考虑增加一些新的竞赛实例,不知大家有什么需求和建议。大家也可以把自己的竞赛作品拿出来秀一下。

出0入0汤圆

发表于 2011-3-6 18:53:39 | 显示全部楼层
2007的竞赛题音频信号分析仪楼主可以试一下啊 用个FFT之类的对您来说应该是小菜了 期待中

出0入0汤圆

发表于 2011-3-6 22:36:05 | 显示全部楼层
mark,09年的数字幅频放大器

出0入0汤圆

发表于 2011-3-11 13:51:46 | 显示全部楼层
回复【251楼】timthorpe
2007的竞赛题音频信号分析仪楼主可以试一下啊 用个fft之类的对您来说应该是小菜了 期待中
-----------------------------------------------------------------------

FFT实现的频谱分析仪我们也有调过,目前正在完善中。
下图为一个3M的正弦信号的频谱。其中示波器屏幕最左侧为0Hz,最右侧为10MHz。

频谱分析仪 (原文件名:频谱分析仪.JPG)
大家也可以将自己的调试结果拿出来秀一下。

出0入0汤圆

发表于 2011-3-11 14:31:42 | 显示全部楼层
回复【3楼】navalguy
-----------------------------------------------------------------------

楼主,你好!我最近在调xilinx的大学计划的一款板卡——xupv5-lx110t;
正在试图调通fpga和ddr2的接口,以使fpga可以对ddr2进行简单的读写操作,遇到些问题,如下:
我是这么做的:1.新建工程;2.在该工程下用core generator生成存储器接口的ip核;2.在该工程下新建一个源文件,然后在该源文件中将ip核的源文件声明为元件;并例化元件。
不知道思路有没有错误?
而且我始终不能将ip核的源文件加在新建的源文件的目录下,不知道该怎么办?请指教!!!!!

出0入0汤圆

发表于 2011-3-12 09:14:00 | 显示全部楼层
回复【255楼】bityoung   
-----------------------------------------------------------------------
楼主,你好!我最近在调xilinx的大学计划的一款板卡——xupv5-lx110t;
正在试图调通fpga和ddr2的接口,以使fpga可以对ddr2进行简单的读写操作,遇到些问题,如下:
我是这么做的:1.新建工程;2.在该工程下用core generator生成存储器接口的ip核;2.在该工程下新建一个源文件,然后在该源文件中将ip核的源文件声明为元件;并例化元件。
不知道思路有没有错误?
而且我始终不能将ip核的源文件加在新建的源文件的目录下,不知道该怎么办?请指教!!!!!
-----------------------------------------------------------------------

添加IP核的步骤没错,可以检查一下声明并例化元件的代码,注意元件和IP核的名字要保持一致。

出0入0汤圆

发表于 2011-3-12 09:29:59 | 显示全部楼层
回复【256楼】navalguy2
-----------------------------------------------------------------------

楼主,你好!不知道你有没有时间详细讨论一下?我qq1197193483

出0入0汤圆

发表于 2011-3-15 22:10:20 | 显示全部楼层
楼主,能不能分享一下你的单片机IP核啊?谢谢

出0入0汤圆

发表于 2011-3-15 23:40:52 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-3-19 22:12:54 | 显示全部楼层
回复【258楼】taotaoa
楼主,能不能分享一下你的单片机ip核啊?谢谢
-----------------------------------------------------------------------

我使用的是Oregano公司开发的1.5版本免费的MC8051 IP核(http://www.oregano.at/eng/8051.html)。

出0入0汤圆

发表于 2011-3-20 13:24:27 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-3-21 08:57:45 | 显示全部楼层
顶一下,很强大。

出0入0汤圆

发表于 2011-3-26 12:06:31 | 显示全部楼层
回复【254楼】navalguy2
-----------------------------------------------------------------------
fft实现的频谱分析仪我们也有调过,目前正在完善中。
下图为一个3m的正弦信号的频谱。其中示波器屏幕最左侧为0hz,最右侧为10mhz。


频谱分析仪 (原文件名:频谱分析仪.jpg)
大家也可以将自己的调试结果拿出来秀一下。

-----------------------------------------------------------------------

楼主能介绍一下频谱分析仪的开发思路吗?

出0入0汤圆

发表于 2011-3-28 21:48:17 | 显示全部楼层
做开发板的一个好习惯是所有IO均通过排阻引出。 特别是给学生用的电路板。可大大减少冒烟的可能。

出0入0汤圆

发表于 2011-3-31 22:57:30 | 显示全部楼层
回复【264楼】Friendz
做开发板的一个好习惯是所有io均通过排阻引出。 特别是给学生用的电路板。可大大减少冒烟的可能。
-----------------------------------------------------------------------

是的,通过排阻可以起到限流的作用,防止电平不匹配而造成器件的损坏。

出0入0汤圆

发表于 2011-4-4 18:26:11 | 显示全部楼层
你好 我想用这个8051做个音频信号分析仪 用NIOS II 不太顺手 楼主给不能给一个你用8051的工程 我学习一下 ……不知可以吗 上次看因为留邮箱被管理员给惩罚了一下,所以不留了 我留下QQ吧:方便联系502327976

出0入0汤圆

发表于 2011-4-5 10:15:23 | 显示全部楼层
很想学习一下在QUARTUS II里用8051的IP核,请楼主不吝赐教了,非常感激 能给一个简单的工程吗 学习一下;

出0入0汤圆

发表于 2011-4-8 12:00:35 | 显示全部楼层
回复【263楼】tangjiamin01
回复【254楼】navalguy2  
-----------------------------------------------------------------------  
fft实现的频谱分析仪我们也有调过,目前正在完善中。  
下图为一个3m的正弦信号的频谱。其中示波器屏幕最左侧为0hz,最右侧为10mhz。  
-----------------------------------------------------------------------
楼主能介绍一下频谱分析仪的开发思路吗?
-----------------------------------------------------------------------

大概的开发思路是这样的:模拟信号首先由A/D芯片转换为数字信号后送给FPGA,在FPGA内调用FFT IP核,完成FFT处理后,再送给D/A芯片转换为模拟信号给示波器观察。主要是学会如何调用FFT IP核,可以查看FFT IP核的相关帮助文档。

出0入0汤圆

发表于 2011-4-12 23:27:58 | 显示全部楼层
回复【267楼】timthorpe
很想学习一下在quartus ii里用8051的ip核,请楼主不吝赐教了,非常感激 能给一个简单的工程吗 学习一下;
-----------------------------------------------------------------------

我使用的是Oregano公司开发的1.5版本免费的MC8051 IP核(http://www.oregano.at/eng/8051.html),可以下载下来自己建一个工程。其中ROM和RAM需要自己手动添加IP核。

出0入0汤圆

发表于 2011-4-19 22:27:15 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-4-21 22:42:32 | 显示全部楼层

(原文件名:1.jpg)


楼主该图中的稳压芯片是1117吗?最近在画spartan3E的板子。电源部分不知道该如何处理。楼主指教下。谢谢

出0入0汤圆

发表于 2011-4-22 13:14:00 | 显示全部楼层
回复【271楼】deweyled 杜威
楼主该图中的稳压芯片是1117吗?最近在画spartan3e的板子。电源部分不知道该如何处理。楼主指教下。谢谢
-----------------------------------------------------------------------

是的,板上有三块AS1117电源芯片分别固定输出3.3V、2.5V、1.2V电压。spartan3e的芯片供电情况和spartan3芯片差不多,可以查看芯片手册上的指标,看电源最大输出电流是否满足要求。

出0入0汤圆

发表于 2011-4-22 23:19:48 | 显示全部楼层
好啊,正想了解FPGA

出0入0汤圆

发表于 2011-4-22 23:58:30 | 显示全部楼层
MARK

出0入0汤圆

发表于 2011-4-24 22:18:27 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-4-25 09:07:53 | 显示全部楼层
MARK

出0入0汤圆

发表于 2011-4-25 09:43:46 | 显示全部楼层
Mark.

出0入0汤圆

发表于 2011-4-25 21:44:45 | 显示全部楼层

出0入0汤圆

发表于 2011-4-26 16:40:27 | 显示全部楼层
很不错的资料,支持楼主开源!

出0入0汤圆

发表于 2011-4-28 16:40:46 | 显示全部楼层
cool!!!

出0入0汤圆

发表于 2011-5-1 12:04:51 | 显示全部楼层
谢谢大家!

推出这款套件的目的主要是想让大家在这样一个通用模块化的平台下,学习交流,共同进步。以这个平台为基础,通过不断更新和完善,提高大家的研究起点。简单点说,只是一个抛砖引玉的作用,期待大家能有更好的作品和想法。

FPGA电子竞赛技术群(超级群):113522056
欢迎大家来交流!

出0入0汤圆

发表于 2011-5-8 09:30:59 | 显示全部楼层
谢谢楼主,进楼主的群了,以后多交流。

出0入0汤圆

发表于 2011-5-10 22:16:46 | 显示全部楼层
好资料

出0入0汤圆

发表于 2011-5-11 11:20:14 | 显示全部楼层
已经属于淘汰的东西了

出0入0汤圆

发表于 2011-5-16 18:56:23 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-5-22 18:31:59 | 显示全部楼层
回复【285楼】pontiff
已经属于淘汰的东西了
-----------------------------------------------------------------------

这位朋友是不是指套件里的芯片型号比较老,这个确实是的。以前也有网友这个说过,我再解释一下,当时选这款芯片的时候,主要是考虑到两点:一是这款芯片兼容5V TTL电平,可以和一些5V的器件直接相连;二是这款芯片的IO口比较多,至少有140个可用。而PQ封装的其它芯片,如SP3-E系列,很多IO口其实只能作为Input(输入)来用的,是单向的,不是真正意义上的双向IO口。

对于电子竞赛来说,问题不在于芯片型号是不是最新的,不会因为你用了Stratix或者Virtex就给你加分。最重要的还是实战,做出满足题目要求的作品出来。

出0入0汤圆

发表于 2011-5-28 21:45:27 | 显示全部楼层
mark,楼主设计的最小系统板上的可用的IO有140个,确实挺多的,除了接套件的AD、DA和IO板外,还可以接自己的板子,可扩展性不错。考虑最近购买一套,并用这个来备战今年的国赛。

出0入0汤圆

发表于 2011-6-1 20:29:32 | 显示全部楼层
回复【288楼】tangjiamin01
mark,楼主设计的最小系统板上的可用的io有140个,确实挺多的,除了接套件的ad、da和io板外,还可以接自己的板子,可扩展性不错。考虑最近购买一套,并用这个来备战今年的国赛。
-----------------------------------------------------------------------

谢谢这位朋友,我们会不断完善竞赛套件的。最近在开发FPGA竞赛实验平台,实验更加方便,功能更加强大,敬请大家关注。

出0入0汤圆

发表于 2011-6-5 10:18:51 | 显示全部楼层
楼主,我是大三的学生,最近在用xilinx驱动TFT,但是在跑51内核的时候,初始化ROM,是不是只要导入COE文件即可,但文件显示是红色,不能进入next,点击show就卡了,电脑CPU一直是100%运行,是程序太大了还是其他什么原因啊,初始化ROM应该是怎么操作的啊?。。。。。。。。。。。。。。。

出0入0汤圆

发表于 2011-6-5 19:32:24 | 显示全部楼层
楼主,我在使用51内核的时候遇见了一个很奇怪的问题,在rom例化时addr的宽度为16,我设的也是16,但是在TOP文件声明却报错,ISE指出addr为10位,不知道是为什么,请指导小弟啊!。。。。。
ROM例化截图 (原文件名:rom例化.jpg)


(原文件名:ROM核申明.jpg)


(原文件名:信号定义.jpg)


(原文件名:ISE报错.jpg)

出0入0汤圆

发表于 2011-6-6 22:34:36 | 显示全部楼层
回复【289楼】navalguy2
-----------------------------------------------------------------------

楼主很有实力,也很有想法,支持你做大做强

出0入0汤圆

发表于 2011-6-6 22:34:54 | 显示全部楼层
回复【289楼】navalguy2
-----------------------------------------------------------------------

出0入0汤圆

发表于 2011-6-8 17:31:22 | 显示全部楼层
还要深究

出0入0汤圆

发表于 2011-6-12 15:12:50 | 显示全部楼层
最近正在开发电子竞赛专用实验箱。实验箱是在竞赛套件的基础上进行升级,使用更加方便,实例和文档更加丰富。下面是实验箱照片(手机拍的,画面效果一般):


FPGA竞赛专用实验箱 (原文件名:FPGA竞赛专用实验箱.jpg)

FPGA竞赛专用实验箱(通电) (原文件名:FPGA竞赛专用实验箱(通电).jpg)
目前整个实验箱硬件平台已经测试通过,正在进行文档的整理和新增例程的开发工作。预计暑假前完工,敬请关注!

出0入0汤圆

发表于 2011-6-12 15:40:50 | 显示全部楼层
mark

出10入0汤圆

发表于 2011-6-12 17:10:46 | 显示全部楼层
mark!!以后学习!

出0入0汤圆

发表于 2011-6-12 18:37:09 | 显示全部楼层
回复【245楼】navalguy2
-----------------------------------------------------------------------

我买的8元一个

出0入0汤圆

发表于 2011-6-14 22:19:41 | 显示全部楼层
秀一下最新开发的一款单片机&外设扩展模块板。
电子竞赛模块—单片机&外设扩展模块板:由STC89C5x系列单片机、VGA、RS-232串口、PS/2和3.2寸TFT彩屏液晶扩展接口组成。既可以作为单片机最小系统板来使用,也可以作为扩展模块接在FPGA扩展底板上由FPGA来控制。

单片机&外设扩展模块板 (原文件名:单片机&外设扩展模块板.jpg)

出0入0汤圆

发表于 2011-6-14 22:32:22 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-6-14 22:32:40 | 显示全部楼层
下面是单片机&外设扩展模块板插在FPGA竞赛实验箱上的效果:

FPGA竞赛专用实验箱2 (原文件名:FPGA竞赛专用实验箱2.jpg)
下面是通电后的效果:

FPGA竞赛专用实验箱2(通电). (原文件名:FPGA竞赛专用实验箱2(通电).jpg)

出0入0汤圆

发表于 2011-6-15 19:08:11 | 显示全部楼层
回复【290楼】326057088 菜菜
楼主,我是大三的学生,最近在用xilinx驱动tft,但是在跑51内核的时候,初始化rom,是不是只要导入coe文件即可,但文件显示是红色,不能进入next,点击show就卡了,电脑cpu一直是100%运行,是程序太大了还是其他什么原因啊,初始化rom应该是怎么操作的啊?。。。。。。。。。。。。。。。
-----------------------------------------------------------------------

可能是COE文件比较大,这种情况不同于FIR滤波器的系数查看,一般不用点击show查看,导入文件后直接进行下一步就可以了。

出0入0汤圆

发表于 2011-6-15 19:13:11 | 显示全部楼层
回复【291楼】326057088 菜菜
楼主,我在使用51内核的时候遇见了一个很奇怪的问题,在rom例化时addr的宽度为16,我设的也是16,但是在top文件声明却报错,ise指出addr为10位,不知道是为什么,请指导小弟啊!。。。。。

rom例化截图 (原文件名:rom例化.jpg)


(原文件名:rom核申明.jpg)
引用图片

<center>
<font colo......
-----------------------------------------------------------------------

检查一下你的ROM IP核的大小,不知道你用的是哪款FPGA芯片,一般的FPGA芯片的ROM深度开不到64K,看编译提示addr为10位,可能你设的ROM的深度只有2^10=1k大小。

出0入0汤圆

发表于 2011-6-20 00:44:37 | 显示全部楼层
回复【6楼】navalguy  
双通道ad板上sma插座接的是信号源送过来的高频信号,信号频率范围:0—20mhz,电压范围:vpp&lt;=4v。
双通道da板上sma插座输出高频信号给示波器,信号频率范围:0—10mhz,电压范围:vpp&lt;=5v。
目前信号类电子竞赛题目的高频指标越来越高,普通的ad、da(如adc0809,dac0832)芯片难以满足指标。这款套件的高频指标很好,可以轻松应对此类竞赛题目。
-----------------------------------------------------------------------

不错,最近一直在学习FPGA,这个收藏了。
但是,20MHz的信号没必要用SMA插座,SMA高端到18GHz,MCX就足够了,而且体积小。
在MHz级别想要维持信号质量,多注意一下PCB的布线就可以,主要有地线的设置,信号线线宽,信号线间距。
再注意一下高频信号输入输出线缆的屏蔽情况,另外把信号变成差分的应该会有一些用处的。
对于一个20MHz的信号,接插件不会是问题的瓶颈。
以上拙见。

出0入0汤圆

发表于 2011-6-22 09:42:10 | 显示全部楼层
楼主的实验箱很酷,布局很美观,功能令人期待。全力支持楼主把这个项目做好!

出0入0汤圆

发表于 2011-6-22 19:44:30 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-6-23 11:20:39 | 显示全部楼层
mark!

出0入0汤圆

发表于 2011-6-23 19:13:24 | 显示全部楼层
cool!

出0入0汤圆

发表于 2011-6-28 20:24:51 | 显示全部楼层
来张实验箱的全家福照片:

FPGA实验箱(全家福) (原文件名:FPGA实验箱.jpg)
实验箱采用底板+模块板的架构。实验箱上专门开辟用户自定义功能区,上面可以插拔单片机&外设扩展模块板、DA模块、DDS模块板、三相大功率DA模块板、多路输出线性电源模块板、面包板等模块。阵容豪华,功能强大。

出0入0汤圆

发表于 2011-7-5 22:31:15 | 显示全部楼层
下面是最近做的xilinx USB下载线,配实验箱很方便,当然也可以配合一般的xilinx开发板使用。

XILINX USB下载线 (原文件名:XILINX USB下载线.jpg)
更多详细介绍请见:http://item.taobao.com/item.htm?id=10863150624

出0入0汤圆

发表于 2011-7-6 21:07:17 | 显示全部楼层
非常牛逼!,学习了

出0入0汤圆

发表于 2011-7-10 23:48:35 | 显示全部楼层
最近正在加紧实验箱的竞赛实例移植和开发,下面是数字频率计在实验箱上的运行效果:

数字频率计 (原文件名:数字频率计.jpg)

出0入0汤圆

发表于 2011-7-14 16:29:51 | 显示全部楼层
回复【楼主位】navalguy
-----------------------------------------------------------------------

还行

出0入0汤圆

发表于 2011-7-16 21:30:38 | 显示全部楼层
【推荐】FPGA竞赛交流超级群(500人)
讨论和交流大学生电子设计竞赛经验!
FPGA电子竞赛技术群(超级群):113522056
备战2011全国大学生电子竞赛,大家一起加油努力!

出0入0汤圆

发表于 2011-7-17 20:58:44 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-7-21 15:56:27 | 显示全部楼层
MARK
正想买这块FPGA模块做实验呢!

出0入0汤圆

发表于 2011-7-22 16:13:07 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-7-27 22:32:12 | 显示全部楼层
07.27专家组组长详谈2011国赛基本要求及赛题特点
    QQ群里传过来的消息,供大家备赛参考:
    全国大学生电子设计竞赛专家组组长,北京理工大学罗伟雄教授在会上详细谈了今年的全国大学生电子设计竞赛的基本要求及赛题特点。其相关精神,总结如下:
    1、赛题数量减少,大概5题;难度有所降低,题型突出基础知识(模拟电路、数字电路,和单片机应用)的综合应用能力的考核,降低专业特性和专业特色,降低直接应用性特性(也是降低专业特色的一个方面)。原因:今年要回归电子设计竞赛的初衷,即突出基础知识的综合应用能力,主要包括模拟电路、数字电路,和单片机应用。而不会像2009年及之前的专门为特定专业出题(如自动化控制,通信等)。所以,今年的题型更具一般性,更能兼顾各专业的基础知识的教学,即能保证任何相关专业的学生都能作的赛题。过去的恶性循环是,赛题越来越难,原因是赛题一旦公布,许多学校就有大量的后续团队,甚至各大相关的公司都介入竞赛,帮助完成赛题,结果导致下届竞赛不得不加大赛题的难度,结果却脱离了竞赛的初衷。因此今年的题型和难度都有所变化,但为了滤除可能的作弊,将于9月13号再对得奖队搞一个全封闭型竞赛。
    2、由于有飞思卡尔竞赛,及降低专业属性,故小车控制类题不会有(此偏工业控制),无线通信类题不会有(此题偏通信)。但电路匹配网络、电源设计类题可能有。
    3、没有纯数字或纯模拟的题;
    4、赛题更多偏向于硬件设计,与单片机相关的软件设计内容一定有,但不会多,量也不会大。因为软件设计量太大,容易导致作弊,或外部协作力量的介入,对竞赛评判不利,所以诸如DSP、嵌入式系统等太偏软件设计的赛题不会有;
    5、新技术新器件仍然鼓励使用,但并不额外加分。与数字电路相关的设计可利用FPGA/CPLD及其相关小系统上完成;
    6、SOPC技术的应用只突出适用性。即赛题不会提出用什么技术,只要能按要求完成,任何技术都可以。罗教授特别提到,SOPC实际上就是一个FPGA加一个内部的单片机。
    7、今年的模拟电路设计肯定包含运放的不同类型的应用,强调这是基础。
    8、在评审中,今年仍然可能考虑系统的性价比和功耗。所以如果直接将接口完备的系统板(如DE2板)用到竞赛中有可能扣分。
    9、赛题将突出电子设计的特点,而降低其他能力的考核。如与机械加工,道路加工,转轴加工、吊瓶、木板选择等等。因为这些能力不应该在竞赛的考核范围内,否则就不叫电子设计竞赛了。所以根据这个精神,今年的赛题,纯电子类,纯基础知识应用类题一定较多。
    10、为了突出电子技术的硬件设计,今年起,将往年50分的论文分数降低到20至30分,增加电路实物设计的分数。即今年的评分更加注重硬件系统的技术指标。硬件不过关,论文再好也不会有什么分。

    9月13日的全封闭电子设计赛相关情况和要求:
    参加竞赛对象是已经获全国一等二等奖的参赛队。全封闭8个多小时,完成一个难度不大的数字模拟小系统设计项目。FPGA和单片机最小系统需自备(针对数字电路的设计),模拟电路由此次日本赞助公司提供一片专用芯片,提供详细的使用说明。此专用芯片最大的可能是一片多运放的器件,但也有可能是ADC或DAC。因此还要准备通用板,好焊接此器件(建议现在就上网搜索此公司的相关器件产品)。对于评审,要求此系统的焊接器件要符合规范,器件引脚和连线要尽可能短,减少干扰可能。

出0入0汤圆

发表于 2011-8-5 00:37:40 | 显示全部楼层
今年的国赛又要开始了,国家奖的复赛应该能公平些,希望能考核出学生真正的能力。

出0入0汤圆

发表于 2011-8-7 14:17:53 | 显示全部楼层
回复【287楼】navalguy2  
-----------------------------------------------------------------------

倒也是,对于电赛来说,实现功能性才是唯一的。
不过以后可以用些量产的芯片做些板子,毕竟比赛不是天天都有的。
另外告诫参加国赛的学弟学妹们,这不过是个开始而已;等你工作了就会觉得比赛的内容太小儿科了,更何况还有很多猫腻。

出0入0汤圆

发表于 2011-8-7 14:24:13 | 显示全部楼层
其实我要是出考题的,我就出两题:
1 用分立元器件做一个符合要求的运放出来。 2 用分立元器件做个符合要求的开关电源。这才是考核你真正的技术能力的时候。
其他什么FPGA,MCU,DSP什么的不过是个平台而已,以后工作了再学不迟。在学校里打好基础了,以后就是学个软件操作的问题了。

出0入0汤圆

发表于 2011-8-7 20:02:06 | 显示全部楼层
mark
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-3-29 06:03

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表