搜索
bottom↓
回复: 240

小弟新手-----8051 FFT (128点)音频频谱显示效果(6432点阵)

  [复制链接]

出0入0汤圆

发表于 2011-2-2 23:27:55 | 显示全部楼层 |阅读模式
春节过大年咯,,,,,,恭喜发财。。。。
寒假做了下FFT频谱
献丑了,
STC12C5A60S2@32.768MHZ
采样128点(似乎少了点,所以效果不理想,要是采集512点以上显示前面第2到65点就应该好些,可惜内存和性能都不够)
用的是整数的FFT,用于音乐频谱显示够啦,
希望以后和大家共同努力,,,
,发几个链接吧,,


版本1:http://v.youku.com/v_show/id_XMjM4NTgyNTIw.html
视频后面的声音忘了加上去了,,
版本2:http://v.youku.com/v_show/id_XMjQwMjQwMDMy.html
http://v.youku.com/v_show/id_XMjM4Nzc5NTUy.html
感觉好点

其他的,调试过程
http://v.youku.com/v_show/id_XMjM3NzAyNDU2.html
最丢人20000hz说成2000hz了


代码可能注释的不好,可以问哈,有不足的地方可以指出
顶哦,,,

手机抓拍太差,,具体可以看上面视频。。。

(原文件名:1.jpg)

(原文件名:2.jpg)

(原文件名:3.jpg)



来看看外围
控制板(还有一个调试用的DIP40的开发板就不上了)

(原文件名:http_imgload.jpg)

自己飞线的48脚的,全部排针引出,可换晶振,,


偏置放大电路

(原文件名:http_imgloadCANGX3WD.jpg)

因为这个AD只能采集正电平,所以把整个波形上调2.5v,,采集结果再减去。。。就ok了




顺便放一下 6432写的俄罗斯方块,STC12C5A60S2@11.0592MHZ

(原文件名:http_imgloadCAVJRWV9.jpg)

http://v.youku.com/v_show/id_XMjM5NjEwNzA4.html

阿莫论坛20周年了!感谢大家的支持与爱护!!

月入3000的是反美的。收入3万是亲美的。收入30万是移民美国的。收入300万是取得绿卡后回国,教唆那些3000来反美的!

出0入0汤圆

 楼主| 发表于 2011-2-2 23:28:11 | 显示全部楼层
沙发自己的,,嘎嘎

奇怪 附件看不到,,
我是菜鸟,,,第一次发帖,。。

点击此处下载 ourdev_614492L7QVO1.rar(文件大小:3K) (原文件名:FFT.rar)
点击此处下载 ourdev_614493G96XI2.rar(文件大小:3K) (原文件名:FFT2.rar)

出0入0汤圆

发表于 2011-2-2 23:56:24 | 显示全部楼层
mark,学习下

出0入0汤圆

发表于 2011-2-3 00:21:56 | 显示全部楼层
想知道偏置电路怎么弄的~

出0入0汤圆

发表于 2011-2-3 00:26:59 | 显示全部楼层

出0入0汤圆

 楼主| 发表于 2011-2-3 00:30:41 | 显示全部楼层
回复【3楼】chaos_v2
-----------------------------------------------------------------------

其实就是单电源运放电路啦,,

以前做放大的时候做的,,图我找找。。
、找到了 前身

(原文件名:5.jpg)

不过我在R1和RG分别串了个滑动变阻器,,,,IC用TLC2272CP

出0入0汤圆

发表于 2011-2-3 00:35:11 | 显示全部楼层
新年第一顶!!!!!! 恭祝楼主及各位坛友新年新气象!!!!!

出0入0汤圆

发表于 2011-2-3 00:39:45 | 显示全部楼层
回复【5楼】Your Father  
-----------------------------------------------------------------------

我只有LM324和LM358这两种很朴素的运放。。能代替么

出0入8汤圆

发表于 2011-2-3 00:51:32 | 显示全部楼层
记号记号~

出0入0汤圆

 楼主| 发表于 2011-2-3 00:53:41 | 显示全部楼层
回复【7楼】chaos_v2
-----------------------------------------------------------------------

似乎这两种低压性能不咋地,而且是乙类的吧?网上似乎有人直接把音频灌进AD脚 也行,只取一半的波形。。你试试

出0入0汤圆

发表于 2011-2-3 01:07:31 | 显示全部楼层
记号,以前想做没时间,有空向lz学习

出0入0汤圆

发表于 2011-2-3 09:18:40 | 显示全部楼层
记号

出0入0汤圆

发表于 2011-2-3 09:47:37 | 显示全部楼层
LZ做得真不错,我以前也做了一个,不知道是程序问题,还是电路问题,干扰比较大,没有音乐输入时(电脑上设置成静音),还是有显示!查了很久,也不知道是什么问题!

先研究一下LZ的程序!

出0入0汤圆

发表于 2011-2-3 10:05:54 | 显示全部楼层
楼主不是一般的新手哦,做个记号将来学习。

出0入0汤圆

发表于 2011-2-3 11:55:39 | 显示全部楼层
mark..

出0入0汤圆

发表于 2011-2-3 12:23:41 | 显示全部楼层
收藏了,不错

出0入0汤圆

发表于 2011-2-4 11:30:39 | 显示全部楼层
MARK

出0入0汤圆

发表于 2011-2-4 12:30:18 | 显示全部楼层
这要多大的LED板子!

出0入0汤圆

 楼主| 发表于 2011-2-4 14:00:43 | 显示全部楼层
回复【17楼】542433
-----------------------------------------------------------------------

64x32双色

taobao二手  50大洋
看了下是04年的东西,,,

出0入0汤圆

发表于 2011-2-5 01:43:09 | 显示全部楼层
LZ强人!Orz!我的12C5A60S2才接11.0592MHZ的晶振,太慢了。

出0入0汤圆

发表于 2011-2-5 01:44:37 | 显示全部楼层
哈LZ也有块开嵌的板子啊

出0入0汤圆

 楼主| 发表于 2011-2-8 17:24:46 | 显示全部楼层
呵呵,上面是功率谱。。改了下,,现在是电压谱,感觉电压普好看些。。。

#include<STC12C5A.H>
#define uchar unsigned char
#define uint unsigned int  
#define  channel  0x01                          
//---------------------------------------------------------------------

sbit  SDA_R=P1^2;
sbit  SDA_R_TOP=P1^3;
sbit  SDA_G=P1^4;                               
sbit  SDA_G_TOP=P1^5;
sbit  STCP=P1^6;
sbit  SHCP=P1^7;
//----------------------------------------------------------------------------------------------------------------------
//放大128倍后的sin整数表(128)       
code char SIN_TAB[128] = { 0, 6, 12, 18, 24, 30, 36, 42, 48, 54, 59, 65, 70, 75, 80, 85, 89, 94, 98, 102,
105, 108, 112, 114, 117, 119, 121, 123, 124, 125, 126, 126, 126, 126, 126, 125, 124, 123, 121, 119, 117, 114, 112,
108, 105, 102, 98, 94, 89, 85, 80, 75, 70, 65, 59, 54, 48, 42, 36, 30, 24, 18, 12, 6, 0, -6, -12, -18, -24, -30,
-36, -42, -48, -54, -59, -65, -70, -75, -80, -85, -89, -94, -98, -102, -105, -108, -112, -114, -117, -119, -121,
-123, -124, -125, -126, -126, -126, -126, -126, -125, -124, -123, -121, -119, -117, -114, -112, -108, -105, -102,
-98, -94, -89, -85, -80, -75, -70, -65, -59, -54, -48, -42, -36, -30, -24, -18, -12, -6 };

//放大128倍后的cos整数表(128)
code char COS_TAB[128] = { 127, 126, 126, 125, 124, 123, 121, 119, 117, 114, 112, 108, 105, 102, 98, 94,
89, 85, 80, 75, 70, 65, 59, 54, 48, 42, 36, 30, 24, 18, 12, 6, 0, -6, -12, -18, -24, -30, -36, -42, -48, -54, -59,
-65, -70, -75, -80, -85, -89, -94, -98, -102, -105, -108, -112, -114, -117, -119, -121, -123, -124, -125, -126, -
126, -126, -126, -126, -125, -124, -123, -121, -119, -117, -114, -112, -108, -105, -102, -98, -94, -89, -85, -80,
-75, -70, -65, -59, -54, -48, -42, -36, -30, -24, -18, -12, -6, 0, 6, 12, 18, 24, 30, 36, 42, 48, 54, 59, 65, 70,
75, 80, 85, 89, 94, 98, 102, 105, 108, 112, 114, 117, 119, 121, 123, 124, 125, 126, 126 };

//采样存储序列表
code char LIST_TAB[128] = { 0, 64, 32, 96, 16, 80, 48, 112,
8, 72, 40, 104, 24, 88, 56, 120,
4, 68, 36, 100, 20, 84, 52, 116,
12, 76, 44, 108, 28, 92, 60, 124,
2, 66, 34, 98, 18, 82, 50, 114,
10, 74, 42, 106, 26, 90, 58, 122,
6, 70, 38, 102, 22, 86, 54, 118,
14, 78, 46, 110, 30, 94, 62, 126,
1, 65, 33, 97, 17, 81, 49, 113,
9, 73, 41, 105, 25, 89, 57, 121,
5, 69, 37, 101, 21, 85, 53, 117,
13, 77, 45, 109, 29, 93, 61, 125,
3, 67, 35, 99, 19, 83, 51, 115,
11, 75, 43, 107, 27, 91, 59, 123,
7, 71, 39, 103, 23, 87, 55, 119,
15, 79, 47, 111, 31, 95, 63, 127
};


uchar COUNT=0,COUNT1=0,ADC_Count=0,LINE=15,G,T;
uchar i,j,k,b,p;                 
int Temp_Real,Temp_Imag,temp;                // 中间临时变量  
uint TEMP1;       
int xdata Fft_Real[128];
int xdata Fft_Image[128];               // fft的虚部
uchar xdata LED_TAB2[64];                                //记录 漂浮物 是否需要 停顿一下
uchar xdata LED_TAB[64];                                //记录红色柱状
uchar xdata LED_TAB1[64];                                //记录 漂浮点

void FFT()
{    //uchar X;               
    for( i=1; i<=7; i++)                            /* for(1) */
    {
        b=1;
        b <<=(i-1);                                       //碟式运算,用于计算 隔多少行计算 例如 第一极 1和2行计算,,第二级
        for( j=0; j<=b-1; j++)                              /* for (2) */
        {
            p=1;
            p <<= (7-i);            
            p = p*j;
            for( k=j; k<128; k=k+2*b)                /* for (3) 基二fft */
            {
                Temp_Real = Fft_Real[k]; Temp_Imag = Fft_Image[k]; temp = Fft_Real[k+b];
                Fft_Real[k] = Fft_Real[k] + ((Fft_Real[k+b]*COS_TAB[p])>>7) + ((Fft_Image[k+b]*SIN_TAB[p])>>7);
                Fft_Image[k] = Fft_Image[k] - ((Fft_Real[k+b]*SIN_TAB[p])>>7) + ((Fft_Image[k+b]*COS_TAB[p])>>7);
                Fft_Real[k+b] = Temp_Real - ((Fft_Real[k+b]*COS_TAB[p])>>7) - ((Fft_Image[k+b]*SIN_TAB[p])>>7);
                Fft_Image[k+b] = Temp_Imag + ((temp*SIN_TAB[p])>>7) - ((Fft_Image[k+b]*COS_TAB[p])>>7);     
                // 移位.防止溢出. 结果已经是本值的 1/64               
              Fft_Real[k] >>= 1;            
                Fft_Image[k] >>= 1;
               Fft_Real[k+b]  >>= 1;                 
                Fft_Image[k+b]  >>= 1;
                                                                              
            }     
        }
    }

         Fft_Real[0]=Fft_Image[0]=0;                  //去掉直流分量
        for(j=0;j<64;j++)                                                                                         
        {                                                                                                                                                          
                TEMP1=((((Fft_Real[j]* Fft_Real[j]))+((Fft_Image[j]*Fft_Image[j]))));//求功率
                if(TEMP1<4)TEMP1=0;
                else if(TEMP1<9)TEMP1=1;
                else if(TEMP1<16)TEMP1=2;
                else if(TEMP1<25)TEMP1=3;
                else if(TEMP1<36)TEMP1=4;
                else if(TEMP1<49)TEMP1=5;
                else if(TEMP1<64)TEMP1=6;
                else if(TEMP1<81)TEMP1=7;
                else if(TEMP1<100)TEMP1=8;
                else if(TEMP1<121)TEMP1=9;
                else if(TEMP1<144)TEMP1=10;
                else if(TEMP1<169)TEMP1=11;
                else if(TEMP1<196)TEMP1=12;
                else if(TEMP1<225)TEMP1=13;
                else if(TEMP1<256)TEMP1=14;
                else if(TEMP1<289)TEMP1=15;
                else if(TEMP1<324)TEMP1=16;
                else if(TEMP1<361)TEMP1=17;
                else if(TEMP1<400)TEMP1=18;
                else if(TEMP1<441)TEMP1=19;
                else if(TEMP1<484)TEMP1=20;
                else if(TEMP1<529)TEMP1=21;
                else if(TEMP1<576)TEMP1=22;
                else if(TEMP1<625)TEMP1=23 ;
                else if(TEMP1<676)TEMP1=24;
                else if(TEMP1<729)TEMP1=25;
                else if(TEMP1<784)TEMP1=26;
                else if(TEMP1<841)TEMP1=27;
                else if(TEMP1<900)TEMP1=28;
                else if(TEMP1<961)TEMP1=29;
                else if(TEMP1<1024)TEMP1=30;
                else TEMP1=31;
                if(TEMP1>(LED_TAB[j]))LED_TAB[j]=TEMP1;       
                if(TEMP1>(LED_TAB1[j]))
                {   LED_TAB1[j]=TEMP1;
                        LED_TAB2[j]=18;                                                //提顿速度=12
                }
        }      
}

void Init()
{  
       
//-----------------------------------------------------------------------------------
     P1ASF = 0x02;                 //0000,0010, 将 P1.1 置成模拟口   
     AUXR1 &=0xFB;                 //1111,1011, 令 ADRJ=0
         EADC=1;                                           //AD中断打开
         ADC_CONTR = ADC_POWER | ADC_SPEEDHH | ADC_START | channel;
//-----------------------------------------------------------------------------------
         P2M0=1;
         P0M0=1;
     TMOD=0X12;                                          
         TH0=0x83;                 //20k                                                
         TL0=0x83;
         TH1=0xED;                                                                                                  
         TL1=0XC0;
         ET0=1;                                               //定时器0 打开
         TR0=0;                                                      //关闭定时器
         ET1=1;
         TR1=1;
         PT1=0;
         PT0=1;
     IPH=PADCH;
         IP=PADC;                                                  //中断优先级
         EA=1;                                                        //总中断打开
}


void ADC_Finish() interrupt 5
{           ADC_CONTR &= !ADC_FLAG;
           Fft_Real[LIST_TAB[ADC_Count]]=(int)((ADC_RES)<<2)+(ADC_RESL)-512;//-512; //按LIST_TAB表里的顺序,进行存储 采样值,,
          if(ADC_Count<=127)ADC_Count++;
          else {EADC=0;TR0=0;}                                                                                                                   
}

void LED_Display() interrupt 3                                         //中断一次 显示一行。。。
{                  
        TH1=0xF3;                                                                                                 
         TL1=0X00;                                               
                for        (G=0;G<64;G++)                                                  //往点阵屏填充 一行的 数据
                {       
                        if(LED_TAB[G]<=LINE+16)SDA_R_TOP=1;
                        else SDA_R_TOP=0;
                          if(LED_TAB[G]<=LINE)SDA_R=1;
                        else SDA_R=0;

                        if(LED_TAB1[G]==LINE){SDA_G_TOP=1;SDA_G=0;}
                        else if(LED_TAB1[G]==(LINE+16)){SDA_G_TOP=0;SDA_G=1;}
                        else SDA_G=SDA_G_TOP=1;
                        SHCP=1;SHCP=0;
                }
                STCP=1;STCP=0;
                P2=15-LINE;
                if(LINE>0)LINE--;
                else LINE=15;

   if(LED_TAB[COUNT]>0)LED_TAB[COUNT]--;
   COUNT++;
   if(COUNT>=64)COUNT=0;
   if(LED_TAB[COUNT]>0)LED_TAB[COUNT]--;
   COUNT++;
   if(COUNT>=64)COUNT=0;
   if(LED_TAB[COUNT]>0)LED_TAB[COUNT]--;                   //柱状递减,
   COUNT++;
   if(COUNT>=64)COUNT=0;
   if(LED_TAB[COUNT]>0)LED_TAB[COUNT]--;
   COUNT++;
   if(COUNT>=64)COUNT=0;
   if(LED_TAB[COUNT]>0)LED_TAB[COUNT]--;
   COUNT++;
   if(COUNT>=64)COUNT=0;
   if(LED_TAB[COUNT]>0)LED_TAB[COUNT]--;
   COUNT++;       
   if(COUNT>=64)COUNT=0;

                                                                                                      //漂浮物递减
   if(LED_TAB2[COUNT1]==0)                                        //判断是否需要停顿          
   {
                   if(LED_TAB1[COUNT1]>LED_TAB[COUNT1])LED_TAB1[COUNT1]--;//大于柱状则递减(保持漂浮物在柱状之上)
   }
   else LED_TAB2[COUNT1]--;
   COUNT1++;
   if(LED_TAB2[COUNT1]==0)
   {
                   if(LED_TAB1[COUNT1]>LED_TAB[COUNT1])LED_TAB1[COUNT1]--;
   }
   else LED_TAB2[COUNT1]--;
   COUNT1++;
   if(LED_TAB2[COUNT1]==0)                                        //判断是否需要停顿          
   {
                   if(LED_TAB1[COUNT1]>LED_TAB[COUNT1])LED_TAB1[COUNT1]--;//大于柱状则递减(保持漂浮物在柱状之上)
   }
   else LED_TAB2[COUNT1]--;
   COUNT1++;
   if(LED_TAB2[COUNT1]==0)
   {
                   if(LED_TAB1[COUNT1]>LED_TAB[COUNT1])LED_TAB1[COUNT1]--;
   }
   else LED_TAB2[COUNT1]--;
   COUNT1++;  
   if(COUNT1>=64)COUNT1=0;
}

void Ad_Control() interrupt 1                                         //控制采样率
{
ADC_CONTR = ADC_POWER | ADC_SPEEDHH| ADC_START | channel;         //开始AD采集
}
  //==============================================================================================================
//        *******************                                                           main()                                                        *********************************                                                                                  
//===============================================================================================================

void main()
{
        Init();
        while(1)
        {               
                ADC_Count=0;
                TR0=1;
                EADC=1;                                                                           //开启定时器中断0,,开启ADC
                while(ADC_Count<128);
                FFT();         
        }
}

出0入0汤圆

发表于 2011-2-8 18:38:01 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-2-9 19:52:18 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-2-10 03:52:31 | 显示全部楼层
这个做的真的已经很不错了的!楼主钻研啊

出0入0汤圆

发表于 2011-2-10 08:39:45 | 显示全部楼层
回复【18楼】Your Father
回复【17楼】542433  
-----------------------------------------------------------------------
64x32双色
taobao二手  50大洋
看了下是04年的东西,,,
-----------------------------------------------------------------------

漂亮!可以给个店铺链接吗

出0入0汤圆

 楼主| 发表于 2011-2-10 12:47:23 | 显示全部楼层
回复【26楼】rigol_fan 西门吹雪
回复【18楼】your father  
回复【17楼】542433   
-----------------------------------------------------------------------  
64x32双色  
taobao二手  50大洋  
看了下是04年的东西,,,
-----------------------------------------------------------------------
漂亮!可以给个店铺链接吗
-----------------------------------------------------------------------

http://item.taobao.com/item.htm?id=4090159417

出0入0汤圆

发表于 2011-2-10 12:53:19 | 显示全部楼层
标记

出0入0汤圆

发表于 2011-2-10 14:23:25 | 显示全部楼层
不错!很好玩

出0入0汤圆

发表于 2011-2-10 15:28:15 | 显示全部楼层
好,物尽其用

出0入0汤圆

发表于 2011-2-14 01:06:21 | 显示全部楼层
果断MARK

出0入0汤圆

 楼主| 发表于 2011-2-27 23:18:03 | 显示全部楼层
不好意思, 有个错误
在此更正

   虚部在FFT之前没清0

呵呵,有兴趣的 自己改下哈

出0入8汤圆

发表于 2011-3-6 21:00:14 | 显示全部楼层
sbit  SDA_R=P1^2;
sbit  SDA_R_TOP=P1^3;
sbit  SDA_G=P1^4;                               
sbit  SDA_G_TOP=P1^5;
sbit  STCP=P1^6;
sbit  SHCP=P1^7;

这些led灯表示不同德频率吗

我用了楼主的程序,但发现AD直接接地led灯也一直亮着,请问楼主这对吗??

我只改了一下这些

#define ADC_POWER   (1<<7)
#define ADC_SPEEDHH        (0x03<<5)
#define ADC_START   (1<<3)
#define PADCH       (1<<5)
#define ADC_FLAG    (1<<4)

sbit  SDA_R=P2^2;
sbit  SDA_R_TOP=P2^3;
sbit  SDA_G=P2^4;                               
sbit  SDA_G_TOP=P2^5;
sbit  STCP=P2^6;
sbit  SHCP=P2^7;

出0入0汤圆

发表于 2011-3-7 22:11:58 | 显示全部楼层
楼主说下原理吧,程序看得我直头疼!

出0入0汤圆

发表于 2011-3-7 23:51:38 | 显示全部楼层
俄罗斯方块 灵的

出0入0汤圆

发表于 2011-3-8 18:33:38 | 显示全部楼层
恩,效果不错

出0入0汤圆

发表于 2011-3-16 15:13:59 | 显示全部楼层
楼主,真牛也

出0入0汤圆

发表于 2011-3-16 15:58:06 | 显示全部楼层
mark,学习下

出0入0汤圆

发表于 2011-3-17 11:06:48 | 显示全部楼层
牛,楼主有米啊,那么大的点阵屏

出0入0汤圆

发表于 2011-3-17 11:18:00 | 显示全部楼层
楼主给个原理图吧,只看程序看着头疼。另外楼主用的控制板就是 买led带的那块吗?谢谢咯

出0入0汤圆

发表于 2011-3-18 12:59:58 | 显示全部楼层
楼主程序中的以下4个娈量在STC网站中提供的头文件中并没有包括
ADC_POWER   
ADC_START   
PADCH     
ADC_FLAG  

请问楼主是自己修改过头文件吗?能否说下

出0入0汤圆

发表于 2011-3-21 11:14:42 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-3-21 23:05:14 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-3-21 23:07:57 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-3-27 17:16:37 | 显示全部楼层
楼主能否详细说下这块led板子的连接方式还有 驱动方法哦。谢谢

出0入0汤圆

 楼主| 发表于 2011-3-27 18:42:23 | 显示全部楼层
回复【34楼】lindabell 欧海

"这些led灯表示不同德频率吗"
-----------------------------------------------------------------------

sbit  SDA_R=P1^2;     //下半屏 红色 数据输入
sbit  SDA_R_TOP=P1^3; //上半屏 红色 数据输入
sbit  SDA_G=P1^4;     //。。。。绿色
sbit  SDA_G_TOP=P1^5; //。。。。绿色。。

出0入0汤圆

 楼主| 发表于 2011-3-27 18:44:44 | 显示全部楼层
回复【43楼】liujian6f
楼主程序中的以下4个娈量在stc网站中提供的头文件中并没有包括
adc_power     
adc_start   
padch      
adc_flag   
请问楼主是自己修改过头文件吗?能否说下
-----------------------------------------------------------------------

sfr  ADC_CONTR  =   0xbc;       //ADC控制寄存器         Bit7    Bit6    Bit5    Bit4    Bit3    Bit2    Bit1    Bit0
                                //位描述            ADC_POWER  SPEED1 SPEED0 ADC_FLAG ADC_START CHS2    CHS1    CHS0
                                //初始值=0000,0000      0       0       0       0       0       0       0       0

有的,STC12C5A.H

出0入0汤圆

 楼主| 发表于 2011-3-27 18:46:39 | 显示全部楼层
回复【36楼】lwg998
楼主说下原理吧,程序看得我直头疼!
-----------------------------------------------------------------------

利用自带AD采样128点,接着进行 FFT,,然后 量化  显示啦

FFT是参考网上的。后来试了下 似乎 64点的FFT更好看,刷新率更高。。

出0入0汤圆

 楼主| 发表于 2011-3-27 18:48:07 | 显示全部楼层
回复【42楼】richards
楼主给个原理图吧,只看程序看着头疼。另外楼主用的控制板就是 买led带的那块吗?谢谢咯
-----------------------------------------------------------------------

呵呵,,,,那个控制板就是 那个 51啦。

出0入0汤圆

发表于 2011-3-27 19:45:08 | 显示全部楼层
回复【51楼】Your Father  
-----------------------------------------------------------------------

谢谢哦。 试试 先。

出0入0汤圆

发表于 2011-3-27 19:54:37 | 显示全部楼层
回复【49楼】Your Father  
-----------------------------------------------------------------------
我 直接导入你的 fft.c 后还是编译不过哦。头文件我去stc官网上下载好了的。
Build target 'Target 1'
assembling STARTUP.A51...
compiling fft.c...
FFT.C(128): error C202: 'ADC_POWER': undefined identifier
FFT.C(145): error C202: 'PADCH': undefined identifier
FFT.C(152): error C202: 'ADC_FLAG': undefined identifier
FFT.C(181): error C187: not an lvalue
FFT.C(183): error C187: not an lvalue
FFT.C(185): error C187: not an lvalue
FFT.C(187): error C187: not an lvalue
FFT.C(189): error C187: not an lvalue
FFT.C(191): error C187: not an lvalue
FFT.C(193): error C187: not an lvalue
FFT.C(195): error C187: not an lvalue
FFT.C(202): error C187: not an lvalue
FFT.C(204): error C187: not an lvalue
FFT.C(208): error C187: not an lvalue
FFT.C(210): error C187: not an lvalue
FFT.C(214): error C187: not an lvalue
FFT.C(216): error C187: not an lvalue
FFT.C(220): error C187: not an lvalue
FFT.C(222): error C187: not an lvalue
FFT.C(229): error C202: 'ADC_POWER': undefined identifier
Target not created

出0入0汤圆

发表于 2011-3-27 23:26:59 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-3-27 23:36:27 | 显示全部楼层
MARK

出0入0汤圆

发表于 2011-3-28 02:16:57 | 显示全部楼层
mk

出0入0汤圆

发表于 2011-3-28 15:55:58 | 显示全部楼层
功率谱 电压谱……是什么意思?

出0入0汤圆

发表于 2011-3-28 16:08:32 | 显示全部楼层
mark , fft

出0入0汤圆

发表于 2011-3-28 16:35:47 | 显示全部楼层
回复【28楼】Your Father
回复【26楼】rigol_fan 西门吹雪
回复【18楼】your father   
回复【17楼】542433   
-----------------------------------------------------------------------   
64x32双色   
taobao二手  50大洋   
看了下是04年的东西,,,  
-----------------------------------------------------------------------  
漂亮!可以给个店铺链接吗
-----------------------------------------------------------------------
http://item.taobao.com/item.htm?id=4090159417
-----------------------------------------------------------------------

  

LZ可否摸清楚那20个管脚的功用

出0入0汤圆

发表于 2011-3-28 19:19:12 | 显示全部楼层
MARK!强悍啊!……

出0入0汤圆

发表于 2011-3-28 19:44:04 | 显示全部楼层
哎,新手都排不上的mark

出0入0汤圆

发表于 2011-3-28 19:55:23 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-3-29 11:07:56 | 显示全部楼层
ding

出0入0汤圆

发表于 2011-3-30 10:22:46 | 显示全部楼层
有时间绝对弄下……
喜欢音乐,更喜欢电子与音乐的融合……

出0入0汤圆

发表于 2011-4-11 13:27:31 | 显示全部楼层
我也玩出来了,用的是megawin 的51芯片 ,MA805-64芯片 显示用LM8053 LCD显示。

出0入0汤圆

发表于 2011-4-13 08:54:11 | 显示全部楼层
mark,学习下

出0入0汤圆

发表于 2011-4-13 09:33:18 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-4-13 10:03:54 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-4-13 23:14:02 | 显示全部楼层
牛啊……我才初学的,这个看起来好难……

出0入0汤圆

发表于 2011-4-14 13:04:04 | 显示全部楼层
mark,学习下

出0入0汤圆

发表于 2011-4-15 22:02:09 | 显示全部楼层
很好,很强大

出0入0汤圆

发表于 2011-4-16 06:35:50 | 显示全部楼层
MARK

出0入0汤圆

发表于 2011-4-18 20:44:49 | 显示全部楼层
不错嘛,长见识了

出0入0汤圆

发表于 2011-4-26 23:03:49 | 显示全部楼层
灰常漂亮,感谢楼主开源,让我们菜鸟有更多的学习机会!再谢!

出0入0汤圆

发表于 2011-4-30 22:11:10 | 显示全部楼层
楼主  附件下不了哦, 下了只有1。62K解压不了

出0入0汤圆

发表于 2011-4-30 22:15:26 | 显示全部楼层
这个做的真的已经很不错了的!楼主钻研啊

出0入0汤圆

发表于 2011-4-30 22:42:48 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-5-1 02:00:19 | 显示全部楼层
读半天程序 正要问虚部是不是要赋特定值呢 楼主就给补上了。

想看懂这个程序 看这里: 刚看完 才似乎有那么稍稍一点明白。 其实还是不明白,反正一大堆数学公式。 倒是程序哪步是干啥的知道了。

http://wenku.baidu.com/view/c4adf385b9d528ea81c779e2.html

出0入0汤圆

发表于 2011-5-3 12:26:38 | 显示全部楼层
记得2008年我用STC12S5410(RAM=512 FLASH=10K)做了两通道的FFT,ADC=100KHZ当时是A/B通道轮流转换就相当于各50KHZ,
每个通道采样128点,用汇编写的,用汇编的特点进行优化所以实际应用的速度很快,之前也在网络下了一些其它大虾用C语言写FFT,感觉速度太慢不实用(并且RAM512能够双通道采样128点的几乎没有),只好自己用汇编写,不过现在已经不用汇编了(汇编局限太大),已经转战STM32F了……

出0入0汤圆

发表于 2011-5-3 14:11:00 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-5-5 17:30:17 | 显示全部楼层
运放电路能有具体参数最好

出0入0汤圆

发表于 2011-5-7 14:53:02 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-5-8 00:06:19 | 显示全部楼层
mark!

出0入0汤圆

发表于 2011-5-22 13:39:33 | 显示全部楼层
继续留记号

出0入0汤圆

发表于 2011-5-22 19:09:11 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-5-24 21:20:04 | 显示全部楼层
回复【22楼】Your Father
-----------------------------------------------------------------------
兄弟,采样存储序列表是如何计算的,学习学习!

出0入0汤圆

发表于 2011-5-26 08:54:55 | 显示全部楼层
兄弟,采样存储序列表是如何计算的,期待你的解答,谢谢!

出0入0汤圆

发表于 2011-6-2 14:50:11 | 显示全部楼层
楼上的各位兄弟们,我到官网上怎么没有找到STC12C5A.H这个头文件啊,可否上传一下,谢过先!!

出0入0汤圆

发表于 2011-6-2 14:56:48 | 显示全部楼层
漂亮!!!

出0入0汤圆

发表于 2011-6-2 16:18:58 | 显示全部楼层
fft

出0入9汤圆

发表于 2011-6-2 17:17:24 | 显示全部楼层
等哪天突发奇想的时候,也玩玩

出0入0汤圆

发表于 2011-6-2 17:38:09 | 显示全部楼层
马克一下

出0入0汤圆

发表于 2011-6-2 18:06:28 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-6-3 22:20:17 | 显示全部楼层
谢谢你,支持下

出0入0汤圆

发表于 2011-6-6 21:00:57 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-6-10 15:09:15 | 显示全部楼层
楼主,这些数据怎么来的呀
//放大128倍后的sin整数表(128)
code char SIN_TAB[128] = { 0, 6, 12, 18, 24, 30, 36, 42, 48, 54, 59, 65, 70, 75, 80, 85, 89, 94, 98, 102,  
105, 108, 112, 114, 117, 119, 121, 123, 124, 125, 126, 126, 126, 126, 126, 125, 124, 123, 121, 119, 117, 114, 112,  
108, 105, 102, 98, 94, 89, 85, 80, 75, 70, 65, 59, 54, 48, 42, 36, 30, 24, 18, 12, 6, 0, -6, -12, -18, -24, -30,  
-36, -42, -48, -54, -59, -65, -70, -75, -80, -85, -89, -94, -98, -102, -105, -108, -112, -114, -117, -119, -121,  
-123, -124, -125, -126, -126, -126, -126, -126, -125, -124, -123, -121, -119, -117, -114, -112, -108, -105, -102,  
-98, -94, -89, -85, -80, -75, -70, -65, -59, -54, -48, -42, -36, -30, -24, -18, -12, -6 };

//放大128倍后的cos整数表(128)
code char COS_TAB[128] = { 127, 126, 126, 125, 124, 123, 121, 119, 117, 114, 112, 108, 105, 102, 98, 94,  
89, 85, 80, 75, 70, 65, 59, 54, 48, 42, 36, 30, 24, 18, 12, 6, 0, -6, -12, -18, -24, -30, -36, -42, -48, -54, -59,  
-65, -70, -75, -80, -85, -89, -94, -98, -102, -105, -108, -112, -114, -117, -119, -121, -123, -124, -125, -126, -
126, -126, -126, -126, -125, -124, -123, -121, -119, -117, -114, -112, -108, -105, -102, -98, -94, -89, -85, -80,  
-75, -70, -65, -59, -54, -48, -42, -36, -30, -24, -18, -12, -6, 0, 6, 12, 18, 24, 30, 36, 42, 48, 54, 59, 65, 70,  
75, 80, 85, 89, 94, 98, 102, 105, 108, 112, 114, 117, 119, 121, 123, 124, 125, 126, 126 };

//采样存储序列表
code char LIST_TAB[128] = { 0, 64, 32, 96, 16, 80, 48, 112,
8, 72, 40, 104, 24, 88, 56, 120,
4, 68, 36, 100, 20, 84, 52, 116,
12, 76, 44, 108, 28, 92, 60, 124,
2, 66, 34, 98, 18, 82, 50, 114,
10, 74, 42, 106, 26, 90, 58, 122,
6, 70, 38, 102, 22, 86, 54, 118,
14, 78, 46, 110, 30, 94, 62, 126,
1, 65, 33, 97, 17, 81, 49, 113,
9, 73, 41, 105, 25, 89, 57, 121,
5, 69, 37, 101, 21, 85, 53, 117,
13, 77, 45, 109, 29, 93, 61, 125,
3, 67, 35, 99, 19, 83, 51, 115,
11, 75, 43, 107, 27, 91, 59, 123,
7, 71, 39, 103, 23, 87, 55, 119,
15, 79, 47, 111, 31, 95, 63, 127
};

出0入0汤圆

发表于 2011-6-10 23:30:42 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-6-18 00:15:47 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-6-19 00:25:12 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-6-19 01:22:42 | 显示全部楼层
mark!
LZ,请问stc89c52的芯片可以做这个么?
你这是采集的音频的什么信号啊?

我新手额、、、、
谢谢诶

出0入0汤圆

发表于 2011-6-19 01:25:50 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-6-19 17:20:54 | 显示全部楼层
回复【楼主位】Your Father
-----------------------------------------------------------------------

楼主 给分电路图呗。。。。。。。。。。。。。。。。。。。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-4-26 05:30

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表