搜索
bottom↓
回复: 46

分享我的PSOC3学习经验(不断更新)

[复制链接]

出0入0汤圆

发表于 2011-3-19 18:12:09 | 显示全部楼层 |阅读模式
去年在与非网参加基于CY8CKIT-003开发板的原创大赛,得到CYPRESS公司的PSOC3开发套件。现在将我的学习经验与大家分享。
首先介绍下:CY8CKIT-003开发板的主芯片是CY8C3866AXI-040,基于高性能的单周期 8051 微处理器内核。开发环境是最新版本的PSOC Creator 1.0  (1.0.0.7727)发布日期是2011/01/12。由于PSOC相比其他单片机,采用图形化开发环境,所以我的分享不涉及单片机的寄存器,采用图片的形式和大家分享。先看看开发板的靓照吧。

(原文件名:开发板.jpg)

开发板光盘资料(500M):存到115网盘上
http://u.115.com/file/t741cf14c8
芯片的中英文文档:
点击此处下载 ourdev_623844X9JZCR.pdf(文件大小:141K) (原文件名:开发板原理图.pdf)
点击此处下载 ourdev_623845ALWS55.pdf(文件大小:2.87M) (原文件名:CY8C38x系列中文说明手册.pdf)
点击此处下载 ourdev_623846IPJGFK.pdf(文件大小:3.96M) (原文件名:CY8C38xxx.pdf)

阿莫论坛20周年了!感谢大家的支持与爱护!!

一只鸟敢站在脆弱的枝条上歇脚,它依仗的不是枝条不会断,而是自己有翅膀,会飞。

出0入0汤圆

 楼主| 发表于 2011-3-19 18:17:09 | 显示全部楼层
(一)按键检测
任务是实现按键检测,按下时LED1亮,释放是LED1灭。
我们先看看按键连接原理图,是连到P15_3端口上,默认是低电平。

(原文件名:1按键原理图.jpg)
然后我们配置KEY为数字输入,初始为0,带下拉。LED为数字输出,初始1,带上拉。

(原文件名:2KEY端口配置.jpg)

(原文件名:3LED端口配置.jpg)
我们知道按键初始状态为0,而LED初始为1,所以要使用到NOT非门取反,连线如下:最后配置好端口,编译下载。

(原文件名:4指定引脚.jpg)


(原文件名:连线.jpg)
最后编译下载后,是不是非常简单啊

出0入0汤圆

 楼主| 发表于 2011-3-19 18:21:40 | 显示全部楼层
(二)串口显示
串口是普遍采用的通信接口,在计算机到处可见,又称DB9口。今天我们介绍使用PSOC3的串口与电脑通信。首先我们任意分配串口的引脚,这里我们设置串口的RXD对应P5_2,TXD对应P5_0。好在开发板都把引脚连出来了。
首先我们放置UART模块到顶层设计图中,根据参考说明配置如下图,选择FULL模式,配置通信的波特率,其他默认就好了。然后配置RXD和TXD端口属性如下:

(原文件名:1串口配置.jpg)


(原文件名:2RXD配置.jpg)


(原文件名:3TXD配置.jpg)

(原文件名:4连线后编译.jpg)
按照上图连线后编译,就会出现图左边的两个API函数。下面我们根据里面的API函数构造输出字符串的功能。(以前beta2.0版本出现的问题就是不支持中文显示,只好都写成英文,现在的5.0可以支持中文显示)主函数如下
void delay(uint16 num)
{
        while(num--);
}
void puts(uint8 *s)
{
        while(*s)
        UART_PutChar(*s++);
        UART_PutChar('\r');
        UART_PutChar('\n');
}
void main()
{
    /* Place your initialization/startup code here (e.g. MyInst_Start()) */
    UART_Start();
        for(;;)
    {
        /* Place your application code here. */
                //UART_PutChar(0x88);
                puts("Welcome to the PSOC and non-network technology and application communities");
                puts("http://psoc.eefocus.com/");
                delay(50000);
                delay(50000);
                delay(50000);
    }
}

(原文件名:5显示效果1.jpg)


(原文件名:5显示效果2.jpg)


(原文件名:6实物图.jpg)

出0入0汤圆

 楼主| 发表于 2011-3-19 18:23:41 | 显示全部楼层
三液晶显示
我们先看看PSOC关于LCD的介绍:
PSoC 液晶显示屏 (LCD) 驱动器系统是一种高度可配置的外设,能够使 PSoC 直接驱动众多 LCD 显示屏。所有电压都在芯片上生成,从而消除了对外部组件的需求。借助高达 1/16 的复用率,CY8C38 系列 LCD 驱动器系统可以驱动多达 736 个段。此外,PSoC LCD 驱动器模块在设计时还充分考虑了便携器件的省电要求,能够采用不同的  LCD  驱动模式和断电模式来达到省电的目的。PSoC Creator 提供了一个 LCD 段驱动组件。借助组件向导,能够轻松灵活地配置  LCD  资源。您可以指定段引脚和公用引脚以及其他选项。软件能够根据必要的规范对器件进行配置,这得益于 PSoC 器件固有的可编程性。
今天我们就实现字符型LCD的模块,显示英文字符串。首先我们放置模块,并打开帮助文档:

(原文件名:1放置LCD模块.jpg)


(原文件名:2连接端口对应图.jpg)


(原文件名:3连接示意图.jpg)
然后在main函数写入:
void main()
{
    /* Place your initialization/startup code here (e.g. MyInst_Start()) */
        LCD_1602_Start();       
        LCD_1602_Position(0,0);
        LCD_1602_PrintString("PSOC and LCD1602");
        LCD_1602_Position(1,0);
        LCD_1602_PrintString("psoc.eefocus.com");
    /* CYGlobalIntEnable; */ /* Uncomment this line to enable global interrupts. */
    for(;;)
    {
        /* Place your application code here. */
    }
}

(原文件名:4实际效果图.jpg)


(原文件名:显示汉字.jpg)

出0入0汤圆

 楼主| 发表于 2011-3-19 18:25:56 | 显示全部楼层
PWM控制LED亮度(四)
我们今天就使用PWM控制开发板上LED灯的亮度。脉冲宽度调制(PWM),是英文“Pulse Width Modulation”的缩写,简称脉宽调制,是利用微处理器的数字输出来对模拟电路进行控制的一种非常有效的技术。简单一点,就是对脉冲宽度的控制。
PSOC3的PWM介绍:
定时器 / 计数器 /PWM 外设是一种 16 位的专用外设,能够提供三种最常用的嵌入式外设功能。几乎所有嵌入式系统都会使用定时器、计数器和 PWM 的某种组合。此 PSoC 器件系列中包含四个定时器、计数器和 PWM 实例,此外,还可以根据需要在通用数字模块 (Universal Digital Block, UDB) 中实例化更多、更高级的定时器、计数器和 PWM。PSoC Creator 允许设计人员选择他们所需要的定时器、计数器和 PWM 功能。该工具集能够利用大多数可用的最优资源。
借助通过 DSI 路由连接的输入和输出信号,定时器/计数器/PWM外设可从多个时钟源中进行选择。借助 DSI 路由,可以通过 DSI访问至任何器件引脚及任何内部数字信号的输入和输出连接。四个实例中的每一个都具有比较输出、终端计数输出(可选互补比较输出)和可编程中断请求线。定时器 / 计数器 /PWM 可配置为自由运行、单触发或受使能输入控制。该外设具有定时器复位和捕获输入,以及控制电压比较器输出的非同步停止输入。该外设全面支持 16 位捕获。
定时器 / 计数器 /PWM 功能包括:
„16 位定时器 / 计数器 /PWM (仅限递减计数)
„可选时钟源
„PWM 电压比较器(可针对 LT、LTE、EQ、GTE、GT 进行配
置)
„在启动、复位和到达终端计数时重新加载周期
„在到达终端计数、比较结果为真或捕获时生成中断
„动态计数器读操作
„定时器捕获模式
„置为使能信号模式时开始计数
„自由运行模式
„单触发模式 (在设定的时间长度结束后停止)
„带死区的互补 PWM 输出
„PWM 输出非同步停止输入
1首先我们放置PWM模块,并设置属性如下:

(原文件名:1PWM设置.jpg)
2分配好端口,我们在main.c加入下面代码
void main()
{
    uint16 led0pwmval=0;
        uint8 dir=1;
        /* Place your initialization/startup code here (e.g. MyInst_Start()) */
        PWM1_Start();
    /* CYGlobalIntEnable; */ /* Uncomment this line to enable global interrupts. */
    for(;;)
    {
        /* Place your application code here. */
                delay(50000);
                if(dir)
            led0pwmval++;//变亮模式
                else
            led0pwmval--;//变暗模式

                if(led0pwmval>150)
            dir=0;
                if(led0pwmval==0)
            dir=1;
                PWM1_WriteCompare(led0pwmval);//更新比较值
    }
}

(原文件名:3效果图.jpg)

出0入0汤圆

 楼主| 发表于 2011-3-19 18:28:36 | 显示全部楼层
按键中断(五)
功能实现按键中断控制LED亮灭。首先放置这些模块,并设置中断为上升沿

(原文件名:1设置中断方式.jpg)
然后在主函数里main.c代码为:
#include <device.h>
CY_ISR_PROTO(waveGen);
void main()
{
    /* Place your initialization/startup code here (e.g. MyInst_Start()) */
       
    CYGlobalIntEnable; /* Uncomment this line to enable global interrupts. */
        isr_key_Start();
        isr_key_SetVector(waveGen);
        LED1_Write(1);
    for(;;)
    {
        /* Place your application code here. */
    }
}
CY_ISR(waveGen)
{
        /* Place your Interrupt code here. */
        /* `#START ISR_1_Interrupt` */
        if(LED1_Read())
                LED1_Write(0);
        else
                LED1_Write(1);
       
        /* `#END` */
}

出0入127汤圆

发表于 2011-3-19 23:00:44 | 显示全部楼层
不错  挺好的

出0入0汤圆

 楼主| 发表于 2011-3-20 09:04:39 | 显示全部楼层
模数转换(六)
CY8C38 器件包含一个 Delta Sigma ADC。此 ADC 能够提供差分输入、高分辨率和卓越的线性度,是音频信号处理和测量应用的绝佳 ADC 选择。转换器的正常操作是 16 位,48 ksps。ADC可以配置为以 180 sps 或更低的数据速率输出 20 位分辨率。在时钟频率固定的情况下,可以牺牲分辨率来换取更快的数据速率。
今天我们就采集一个电位器的电压,并显示到LCD1602上。
首先我们放置ADC模式:设置为连续模式,精度先设置为8位,输入范围为VSS到VDD。

(原文件名:1设置ADC.jpg)

然后我们从参考资料看看,需要配置一下几个函数:

(原文件名:2通供的ADC函数.jpg)
3连接效果图,显示当前电压2.3V

(原文件名:3实际连接图.jpg)

出0入0汤圆

发表于 2011-3-20 09:17:01 | 显示全部楼层
抢个沙发顶下!

出0入0汤圆

 楼主| 发表于 2011-3-21 08:22:23 | 显示全部楼层
电压数模转换VDAC(七)
CY8C38 部件包含多达四个数模转换器 (DAC)。每个 DAC 都为8 位,可针对电压或电流输出进行配置。 DAC 支持 CapSense、电源供电调节和波形生成。每个 DAC 都具有以下特性:
&#132;可在 255 个步长范围内调节的电压或电流输出
&#132;可编程步长大小 (范围选择)
&#132;八位校准,能够更正 ±25% 的增益误差
&#132;针对电流输出的源和接收器选项
&#132;电流输出的转换速率为 8 Msps
&#132;电压输出的转换速率为 1 Msps
&#132;本质上是单调的
对于电压 DAC (VDAC),电流 DAC 输出会通过电阻路由。VDAC可以使用两个范围,即 0 到 1.024V 以及 0 到 4.096V。在电压模式下,连接到 DAC 输出的任何负载都应该是纯容性负载(VDAC的输出不会被缓冲)。
对于电压 DAC (VDAC),电流 DAC 输出会通过电阻路由。VDAC可以使用两个范围,即 0 到 1.024V 以及 0 到 4.096V。在电压模式下,连接到 DAC 输出的任何负载都应该是纯容性负载(VDAC的输出不会被缓冲)。
我们就做一个简单的电压输出,然后去测量电压的值
1放置并配置电压模块

(原文件名:1电压模块配置.jpg)



2 主函数设置输出200,换算为实际电压
200/256 ×1.024=0.8V
void main()
{
   
    /* Start VDAC */
    VDAC8_1_Start();

    /* Set the value 200 in VDAC data register */
    VDAC8_1_SetValue(200);//200/256*1.024=0.8V
   while(1);
}
3实际测试的确输出0.8V


(原文件名:电压测试0.8V.jpg)

出0入0汤圆

 楼主| 发表于 2011-3-22 08:32:07 | 显示全部楼层
电流数模转换IDAC(八)
电流 DAC (IDAC) 可针对以下范围进行配置:0 到 32 &micro;A、 0 到256 &micro;A,以及 0 到 2.048 mA。IDAC 可配置为源或接收器电流。我们就使用IDAC控制LED的亮度,模块的框图如下:

(原文件名:1电流框图.jpg)
我们按照下面配置电流为输入模式。

(原文件名:2电路模块设置.jpg)

(原文件名:3效果图.jpg)
void main()
{
    uint8 current=0;
        uint8 buf[3];
        /* Start the LCD component */
    LCD_Char_1_Start();           

    /* Clear LCD Display */
    LCD_Char_1_ClearDisplay();   

    /* Start the IDAC component */
    IDAC8_1_Start();            

    /* Sets the IDAC full scale range to 255&brvbar;&Igrave;A */
    IDAC8_1_SetRange(IDAC8_1_RANGE_255uA);   

    /* Sets the IDAC value to 100 */
    //IDAC8_1_SetValue(100);     

    LCD_Char_1_Position(0,0);
    LCD_Char_1_PrintString("IDAC8 DEMO");
        while(1)
        {
                for(current=0;current<256;current++)
                {
                        delay(50000);
                        IDAC8_1_SetValue(current);
                        sprintf(buf,"%d",current);
                        LCD_Char_1_Position(1,0);
                        LCD_Char_1_PrintString(buf);
                }
        }
}

出0入0汤圆

发表于 2011-3-22 17:02:05 | 显示全部楼层
什么时候上I2C Master 发送啊!等待

出0入0汤圆

 楼主| 发表于 2011-3-23 08:11:44 | 显示全部楼层
回复【11楼】lwb_2888
    什么时候上i2c master 发送啊!等待
-----------------------------------------------------------------------

还没学到那里,耐心等待

出0入0汤圆

 楼主| 发表于 2011-3-23 08:16:01 | 显示全部楼层
回复【12楼】gamethink
一直都想搞这个,可惜感觉缺乏详细教程和资料
-----------------------------------------------------------------------

现在最新版本的PSOC Creator 1.0  (1.0.0.7727)有带一些范例和pdf,我都是参考那里的资料

出0入0汤圆

 楼主| 发表于 2011-3-23 08:17:26 | 显示全部楼层
电压比较器COMP(九)
CY8C38 系列中的每个器件都包含四个电压比较器。电压比较器具有以下特性:
&#132;输入偏移出厂预设值小于 5 mV
&#132;轨至轨共模输入范围 (Vssa 到 Vdda)
&#132;可使用以下三种模式中的一种在速度和功耗之间进行平衡:快速、慢速或超低功耗
&#132;电压比较器输出可以路由到查询表,以便执行简单的逻辑功能,然后还可以路由到数字模块
&#132;可以选择使电压比较器的正向输入通过低通滤波器。提供了两个滤波器
&#132;电压比较器输入可以连接到 GPIO、DAC 输出和 SC 模块输出
我们今天就使用电压比较器,判断电位器的输入电压是否大于1.6V。1.6V的比较电压,我们直接使用VDAC模块输出。比较的结果我们送到LED上显示。

(原文件名:1电压比较器.jpg)


(原文件名:2LED亮.jpg)


(原文件名:3LED灭.jpg)

出0入0汤圆

 楼主| 发表于 2011-3-24 08:03:30 | 显示全部楼层
运算放大器OP(十)
运算放大器是未赋定运算放大器,可配置为增益级或电压跟随器,或配置为外部或内部信号的输出缓冲区。在任何配置中,输入和输出信号都可以连接到内部全局信号,并使用 ADC或电压比较器进行监控。配置是使用信号和 GPIO 引脚之间的开关实现的。运算放大器具有三种速度模式,即慢速、中速和快速。慢速模式的静态功耗最低,而快速模式的功耗最高。输入具有轨至轨摆动能力。在低电流输出条件下,输出摆动能够实现轨至轨操作 (轨至轨电压各減 / 加  50mV)。当驱动高电流负载 (约为  25  mA)时,轨至轨输出电压只能在轨至轨电压各減 / 加 500 mV 范围內摆动。
我们首先看看电压跟随器模式

(原文件名:1放大器示意图.jpg)


(原文件名:2效果图.jpg)

出0入0汤圆

 楼主| 发表于 2011-3-25 08:23:07 | 显示全部楼层
可编程放大PGA(十一)

(原文件名:1PGA介绍1.jpg)


(原文件名:2PGA介绍2.jpg)


(原文件名:3PGA模块.jpg)


(原文件名:4效果图.jpg)

出0入0汤圆

 楼主| 发表于 2011-3-26 08:28:30 | 显示全部楼层
互阻放大器TIA(十二)

(原文件名:TIA模块使用.jpg)


(原文件名:TIA说明.jpg)


(原文件名:不同放大倍数.jpg)
代码:
#include <device.h>
#include <stdio.h>
#define vmax 1.024//外界参考电压为1.024V
CY_ISR_PROTO(waveGen);//中断函数声明
void delay(uint16 num)
{
        while(num--);
}
void main()
{
    /* Place your initialization/startup code here (e.g. MyInst_Start()) */
        uint8 ADC1_value=0;
        float fVolts=0;
        uint8 outputStr[7];
        LCD_1602_Start();//启动LCD1602       
        LCD_1602_Position(0,0);
        LCD_1602_PrintString("RF=20K CF=3.3pF");
        LCD_1602_Position(1,0);
        LCD_1602_PrintString("I=20uA V=     V");
        /* Start the IDAC component */
    IDAC8_1_Start();
        /* Start the TIA component */
    TIA_1_Start();
    /* Set the Resistive feedback to 20k ohms */
    TIA_1_SetResFB(TIA_1_RES_FEEDBACK_20K);

    /* Set the capacitive feedback to 3.3pF */
    TIA_1_SetCapFB(TIA_1_CAP_FEEDBACK_3_3PF);
   

    ADC1_Start();
        ADC1_StartConvert();//开始转换
    CYGlobalIntEnable;  /* Uncomment this line to enable global interrupts. */
    isr_key_Start();
        isr_key_SetVector(waveGen);
        for(;;)
    {
        /* Place your application code here. */
                /* Place your application code here. */
                ADC1_IsEndConversion(ADC1_WAIT_FOR_RESULT);
                ADC1_value = ADC1_GetResult8();
                fVolts = ADC1_value * vmax/255;
                sprintf(outputStr, "%0.7f", fVolts);//格式化为3位小数
                LCD_1602_Position(1,9);
                LCD_1602_PrintString(outputStr);
                delay(50000);
    }
}
CY_ISR(waveGen)
{
        /* Place your Interrupt code here. */
        /* `#START ISR_1_Interrupt` */
        static uint8 count=0;//按键次数
        LCD_1602_Position(0,3);
        switch(count++)
        {
                case 0://放大倍数为20K
                {
                        /* Set the Resistive feedback to 20k ohms */
                    TIA_1_SetResFB(TIA_1_RES_FEEDBACK_20K);
                        LCD_1602_PrintString("20");
                        break;
                }
                case 1://放大倍数为30K
                {
                        TIA_1_SetResFB(TIA_1_RES_FEEDBACK_30K);
                        LCD_1602_PrintString("30");
                        break;
                }
                case 2://放大倍数为40
                {
                        TIA_1_SetResFB(TIA_1_RES_FEEDBACK_40K);
                        LCD_1602_PrintString("40");
                        break;
                }
                default://默认放大倍数为20K
                {
                        TIA_1_SetResFB(TIA_1_RES_FEEDBACK_20K);
                        LCD_1602_PrintString("20");
                        count=0;
                        break;
                }
        }
       
        /* `#END` */
}
/* [] END OF FILE */

出0入0汤圆

 楼主| 发表于 2011-3-30 14:41:55 | 显示全部楼层
状态和控制模块SR/CR(十三)

(原文件名:控制面板.jpg)


(原文件名:说明1.jpg)


(原文件名:说明2.jpg)

//使用中断定时
#include <device.h>
unsigned int num=50000;
CY_ISR_PROTO(waveGen);
void delay_ms(unsigned int time)
{
        num=time;
        while(num);
}
void main()
{
    /* Place your initialization/startup code here (e.g. MyInst_Start()) */
        unsigned char num=0;
    CYGlobalIntEnable; /* Uncomment this line to enable global interrupts. */
    isr_delay_Start();
        isr_delay_SetVector(waveGen);
        for(;;)
    {
        /* Place your application code here. */
                for(num=0;num<255;num++)
                {
                        Control_Reg_1_Write(num);
                        delay_ms(100);
                }
               
               
    }
}
CY_ISR(waveGen)//每1ms中断方式一次,也可用于定时1ms
{
        /* Place your Interrupt code here. */
        num--;
       
        /* `#END` */
}
/* [] END OF FILE */

出0入0汤圆

 楼主| 发表于 2011-3-30 14:46:01 | 显示全部楼层
热电偶Thermistor (十七)

(原文件名:1连接原理图.jpg)


(原文件名:测量热电偶.jpg)


(原文件名:触摸后.jpg)


(原文件名:未触摸.jpg)

主要代码:
/* Look up table temperature from -40 to +125 degree C */
const uint32 Thermistor_TempTable[] =
{
  328996,307906,288311,270096,253153,
  237386,222670,208964,196194,184288,
  173184,162822,153148,144112,135667,
  127773,120404,113506,107048,100997,
  95326,89988,84983,80288,75882,
  71745,67874,64235,60812,57593,
  54563,51698,49000,46460,44068,
  41813,39690,37687,35798,34014,
  32330,30737,29232,27810,26465,
  25193,23990,22851,21773,20752,
  19785,18868,17998,17174,16392,
  15650,14946,14278,13644,13041,
  12468,11923,11406,10913,10445,
  10000,9575,9172,8787,8421,
  8072,7739,7422,7119,6831,
  6555,6293,6042,5803,5574,
  5356,5147,4948,4757,4575,
  4400,4233,4074,3921,3775,
  3635,3501,3372,3249,3131,
  3018,2910,2807,2707,2612,
  2520,2432,2348,2267,2189,
  2114,2042,1973,1906,1842,
  1781,1722,1665,1611,1559,
  1509,1460,1413,1368,1325,
  1283,1243,1204,1167,1131,
  1096,1063,1030,999,969,
  940,912,885,859,834,
  810,786,764,742,720,
  700,680,661,643,625,
  607,591,575,559,544,
  529,515,501,488,475,
  463,451,439,427,416,
  406,395,385,376,366,
  357
};
void main()
{
    uint16 Vpower=0,Vsense=0;
        uint8 temp=0;
        int Count=0;
        //uint8 outputStr[8]={'0'};
        int32 ThermistorResistance;                /* Thermistor resistance variable */
        long Thermistor_Temperature;        /* compter thermistor temperature * 10 */
        long TempTable_UpperLimit, TempTable_LowerLimit;        /* Linear Interpolation variables */
    long TempTable_Decimal;                                                        /* Fractional portion of temperature */
        /* Place your initialization/startup code here (e.g. MyInst_Start()) */
        LCD_1602_Start();//启动LCD1602       
        LCD_1602_Position(0,0);
        LCD_1602_PrintString("Thermistor");
        LCD_1602_Position(1,0);
        LCD_1602_PrintString("WEN DU:    C");
    /* CYGlobalIntEnable; */ /* Uncomment this line to enable global interrupts. */
    VDAC8_1_Start();
        ADC1_Start();
        //ADC1_StartConvert();//开始转换
       
        AMux_1_Start();
        for(;;)
    {
        /* Place your application code here. */
                 /* Set Amux channel0 and read voltage across reference resistor */
            AMux_1_Select(0);
                ADC1_Stop();
                ADC1_Start();
                ADC1_StartConvert();
                ADC1_IsEndConversion(ADC1_WAIT_FOR_RESULT);
                Vsense = ADC1_GetResult16();//测量sense处电压
               
                AMux_1_Select(1);
                ADC1_Stop();
                ADC1_Start();
                ADC1_StartConvert();
                ADC1_IsEndConversion(ADC1_WAIT_FOR_RESULT);
                Vpower = ADC1_GetResult16();//测量power处电压
               
                ThermistorResistance  = ((int32)(Vsense  * (int32)THERM_RREF) / ((int32)(Vpower - Vsense)));
            for(Count = 0; Thermistor_TempTable[Count] >= ThermistorResistance; Count++);//查表
                /* Piece-wise linear approximation to find fractional value between 1C increments to
                *  calculate temperature to 1 decimal place */
                TempTable_Decimal = 0;               
                TempTable_LowerLimit = Thermistor_TempTable[Count];
                TempTable_UpperLimit = Thermistor_TempTable[Count-1];
                //根据表中两个点之间接近线性,估计小数点一位
                TempTable_Decimal = ((TempTable_UpperLimit - ThermistorResistance) * 10) / (TempTable_UpperLimit - TempTable_LowerLimit);
                Thermistor_Temperature = (Count - 40 - 1) * 10 + (TempTable_Decimal);
                //sprintf(outputStr, "%d", Thermistor_Temperature);
                LCD_1602_Position(1,7);//温度显示
                //LCD_1602_PrintString(outputStr);
                temp=Thermistor_Temperature/100+0x30;
                LCD_1602_PutChar(temp);
                temp=Thermistor_Temperature/10%10+0x30;
                LCD_1602_PutChar(temp);
                LCD_1602_PutChar('.');
                temp=Thermistor_Temperature%10+0x30;
                LCD_1602_PutChar(temp);
                delay(100000);
    }
}

出0入0汤圆

发表于 2011-3-30 18:42:46 | 显示全部楼层
可以申裤了

出0入0汤圆

发表于 2011-4-4 06:35:19 | 显示全部楼层
莫非是月之骑士大侠?

http://mips.eefocus.com/billbot501/blog/

如果不是,做人要厚道,转载要标明出处为好.

出0入0汤圆

 楼主| 发表于 2011-4-13 20:42:20 | 显示全部楼层
回复【22楼】suipeng70
莫非是月之骑士大侠?
http://mips.eefocus.com/billbot501/blog/
如果不是,做人要厚道,转载要标明出处为好.

-----------------------------------------------------------------------

是本人,转到ourdev上人气比较足

出0入0汤圆

 楼主| 发表于 2011-5-6 15:00:48 | 显示全部楼层
打包整理了下

点击此处下载 ourdev_637596BBMJT4.pdf(文件大小:3.59M) (原文件名:分享PSoC3技术开发和应用.pdf)

出0入0汤圆

发表于 2011-5-10 10:33:31 | 显示全部楼层
多谢楼主啊,正想学PSOC3呢,看来是比PSOC1强了很多啊

出0入0汤圆

发表于 2011-5-24 15:23:00 | 显示全部楼层
你好,月之骑士。可不可以把PGA的程序贴出来啊。谢谢了啊!

出0入0汤圆

发表于 2011-5-27 13:42:50 | 显示全部楼层
回复【楼主位】billbot501 武林高手
-----------------------------------------------------------------------

谢谢群主开源,刚刚接触PSOC,可是开发板光盘资料下载需要提取码

出0入0汤圆

发表于 2011-5-27 17:53:51 | 显示全部楼层
回复【楼主位】billbot501 武林高手
-----------------------------------------------------------------------

光盘资料能不能再共享一下啊!谢谢!

出0入0汤圆

发表于 2011-5-28 22:01:29 | 显示全部楼层
回复【7楼】billbot501 武林高手
-----------------------------------------------------------------------

高手,你好,想问下你的那个原厂开发板的AD,它的在20bit下的表现怎么样,

出0入0汤圆

发表于 2011-5-28 22:10:42 | 显示全部楼层
相机要是再清晰点就好,看得我眼花啊!记得有人曾经讨论SOC的发展前途!

出0入0汤圆

发表于 2011-6-2 11:28:59 | 显示全部楼层
回复【23楼】billbot501 武林高手
回复【22楼】suipeng70  
莫非是月之骑士大侠?  
http://mips.eefocus.com/billbot501/blog/  
如果不是,做人要厚道,转载要标明出处为好.  
-----------------------------------------------------------------------
是本人,转到ourdev上人气比较足
-----------------------------------------------------------------------

阿莫的坛子很人气,可PSoC的小坛子却很冷,也怪Cypress伤了阿莫,哎!

出0入0汤圆

发表于 2011-7-12 01:34:28 | 显示全部楼层
太好了

出0入0汤圆

发表于 2011-7-12 01:47:40 | 显示全部楼层
主函数里main.c代码要自己写吗????

出0入0汤圆

发表于 2012-2-10 17:01:07 | 显示全部楼层
这么好的帖子怎能不顶!

出0入0汤圆

发表于 2012-4-10 00:15:06 | 显示全部楼层
严重支持!!

出0入0汤圆

发表于 2012-4-14 11:46:43 | 显示全部楼层
学习了,顶一下

出0入0汤圆

发表于 2012-4-26 14:39:06 | 显示全部楼层
又来学习,不过怎么今天下载不了文件?

出0入0汤圆

发表于 2012-9-11 19:12:59 | 显示全部楼层
楼主很强大啊!

出0入0汤圆

发表于 2012-9-20 21:36:48 | 显示全部楼层
顶一个。。

出100入90汤圆

发表于 2014-4-7 21:22:00 | 显示全部楼层
老兄有没有QQ,加你交流一下,我也正在学。

出0入0汤圆

发表于 2014-7-10 11:29:52 | 显示全部楼层
不错的资料,谢谢分享.

出0入0汤圆

发表于 2014-7-28 08:31:11 | 显示全部楼层
这个必须咱

出0入0汤圆

发表于 2014-8-25 14:13:47 | 显示全部楼层
师兄都说这个芯片很给力,很省外围电路,可是我感觉这芯片是不是贵了点!!

出0入0汤圆

发表于 2014-10-2 12:14:33 | 显示全部楼层
谢谢分享

出0入0汤圆

发表于 2014-10-2 12:24:28 | 显示全部楼层
老贴子了,也顶出来了.
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-3-29 22:11

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表