搜索
bottom↓
回复: 41

DRAM,SRAM,SDRAM的关系与区别(困惑我很久的东西)

  [复制链接]

出0入0汤圆

发表于 2012-5-21 15:16:58 | 显示全部楼层 |阅读模式
存储器类型分析本文为设计类容为存储器类型分析,大部分资料来源于网络,经过个人整理形成本文档,希望对大家有所帮助。-- flyownway
存储器介绍
       存储器(Memory)是计算机系统中的记忆设备,用来存放程序和数据。计算机中全部信息,包括输入的原始数据、计算机程序、中间运行结果和最终运行结果都保存在存储器中。它根据控制器指定的位置存入和取出信息。有了存储器,计算机才有记忆功能,才能保证正常工作。

按用途存储器可分为主存储器(内存)和辅助存储器(外存),也有分为外部存储器和内部存储器的分类方法。
外存通常是磁性介质或光盘等,能长期保存信息。内存指主板上的存储部件,用来存放当前正在执行的数据和程序,但仅用于暂时存放程序和数据,关闭电源或断电,数据会丢失。

构成
构成存储器的存储介质,目前主要采用半导体器件和磁性材料。存储器中最小的存储单位就是一个双稳态半导体电路或一个CMOS晶体管或磁性材料的存储元,它可存储一个二进制代码。由若干个存储元组成一个存储单元,然后再由许多存储单元组成一个存储器。 一个存储器包含许多存储单元,每个存储单元可存放一个字节(按字节编址)。每个存储单元的位置都有一个编号,即地址,一般用十六进制表示。一个存储器中所有存储单元可存放数据的总和称为它的存储容量。假设一个存储器的地址码由20位二进制数(即5位十六进制数)组成,则可表示2的20次方,即1M个存储单元地址。每个存储单元存放一个字节,则该存储器的存储容量为1MB。

分类按存储介质分
半导体存储器:用半导体器件组成的存储器。
磁表面存储器:用磁性材料做成的存储器。

按存储方式分        随机存储器:任何存储单元的内容都能被随机存取,且存取时间和存储单元的物理位置无关。
        顺序存储器:只能按某种顺序来存取,存取时间和存储单元的物理位置有关。

按存储器的读写功能分
        只读存储器(ROM):存储的内容是固定不变的,只能读出而不能写入的半导体存储器。
        随机读写存储器(RAM):既能读出又能写入的半导体存储器。

按信息的可保存性分非永久记忆的存储器:断电后信息即消失的存储器。
永久记忆性存储器:  断电后仍能保存信息的存储器。

按存储器用途分        根据存储器在计算机系统中所起的作用,可分为主存储器、辅助存储器、高速缓冲存储器、控制存储器等。
        为了解决对存储器要求容量大,速度快,成本低三者之间的矛盾,目前通常采用多级存储器体系结构,即使用高速缓冲存储器、主存储器和外存储器。
名称        用途        特点
高速缓冲存储器        Cache        高速存取指令和数据 存取速度快,但存储容量小
主存储器        内存        存放计算机运行期间的大量程序和数据 存取速度较快,存储容量不大
外存储器        外存        存放系统程序和大型数据文件及数据库 存储容量大,位成本低

功能
存储器                                          功能          寻址方式         掉电后             说 明
随机存取存储器(RAM)         读、写         随机寻址         数据丢失        
只读存储器(ROM)         读         随机寻址         数据不丢失         工作前写入数据
闪存(Flash Memory)         读、写         随机寻址         数据不丢失        
先进先出存储器(FIFO)         读、写         顺序寻址         数据丢失        
先进后出存储器(FILO)         读、写         顺序寻址         数据丢失        


各类存储器
RAM  
RAM(random access memory,随机存取存储器)。存储单元的内容可按需随意取出或存入,且存取的速度与存储单元的位置无关的存储器。这种存储器在断电时将丢失其存储内容,故主要用于存储短时间使用的程序。 按照存储信息的不同,随机存储器又分为静态随机存储器(Static RAM,SRAM)和动态随机存储器(Dynamic RAM,DRAM)。

SRAMS
RAM(Static RAM,静态随机存储器),不需要刷新电路,数据不会丢失,而且,一般不是行列地址复用的。但是他集成度比较低,不适合做容量大的内存,一般是用在处理器的缓存里面。像S3C2440的ARM9处理器里面就有4K的SRAM用来做CPU启动时用的。
SRAM其实是一种非常重要的存储器,它的用途广泛。SRAM的速度非常快,在快速读取和刷新时能够保持数据完整性。SRAM内部采用的是双稳态电路的形式来存储数据。所以SRAM的电路结构非常复杂。制造相同容量的SRAM比DRAM的成本高的多。正因为如此,才使其发展受到了限制。因此目前SRAM基本上只用于CPU内部的一级缓存以及内置的二级缓存。仅有少量的网络服务器以及路由器上能够使用SRAM。

DRAM
Dynamic RAM,动态随机存取存储器,每隔一段时间就要刷新一次数据,才能保存数据。而且是行列地址复用的,许多都有页模式。SDRAM是其中的一种。

SDRAM
SDRAM(Synchronous DRAM,同步动态随机存储器),即数据的读写需要时钟来同步。其存储单元不是按线性排列的,是分页的。
DRAM和SDRAM由于实现工艺问题,容量较SRAM大。但是读写速度不如SRAM。
一般的嵌入式产品里面的内存都是用的SDRAM。电脑的内存也是用的这种RAM,叫DDR SDRAM,其集成度非常高,因为是动态的,所以必须有刷新电路,每隔一段时间必须得刷新数据。

ROM
Read-Only Memory,只读存储器的总称。
在微机的发展初期,BIOS都存放在ROM(Read Only Memory,只读存储器)中。ROM内部的资料是在ROM的制造工序中,在工厂里用特殊的方法被烧录进去的,其中的内容只能读不能改,一旦烧录进去,用户只能验证写入的资料是否正确,不能再作任何修改。如果发现资料有任何错误,则只有舍弃不用, 重新订做一份。ROM是在生产线上生产的,由于成本高,一般只用在大批量应用的场合。

PROM
可编程只读存储器,只能写一次,写错了就得报废,现在用得很少了,好像那些成本比较低的OPT单片机里面用的就是这种存储器吧。

EPROM 
EPROM(Erasable Programmable ROM,可擦除可编程ROM)芯片可重复擦除和写入,解决了PROM芯片只能写入一次的弊端。
EPROM芯片有一个很明显的特征,在其正面的陶瓷封装上,开有一个玻璃窗口,透过该窗口,可以看到其内部的集成电路,紫外线透过该孔照射内部芯片就可以擦除其内的数据,完成芯片擦除的操作要用到EPROM擦除器。
EPROM内资料的写入要用专用的编程器,并且往芯片中写内容时必须要加一定的编程电压(VPP=12—24V,随不同的芯片型号而定)。EPROM的型号是以27开头的,如27C020(8*256K)是一片2M Bits容量的EPROM芯片。EPROM芯片在写入资料后,还要以不透光的贴纸或胶布把窗口封住,以免受到周围的紫外线照射而使资料受损。 EPROM芯片在空白状态时(用紫外光线擦除后),内部的每一个存储单元的数据都为1(高电平)。

EEPROMEEPROM (Electrically Erasable Programmable ROM,电可擦可编程只读存储器),一种掉电后数据不丢失的存储芯片。EEPROM是可用户更改的只读存储器,其可通过高于普通电压的作用来擦除和重编程(重写),即可以在电脑上或专用设备上擦除已有信息并重新编程。不像EPROM芯片,EEPROM不需从计算机中取出即可修改,是现在用得比较多的存储器,比如24CXX系列的EEPROM。
在一个EEPROM中,当计算机在使用的时候是可频繁地重编程的,EEPROM的寿命是一个很重要的设计考虑参数。
EEPROM的一种特殊形式是闪存,其应用通常是个人电脑中的电压来擦写和重编程。
EEPROM一般用于即插即用(Plug & Play),常用在接口卡中,用来存放硬件设置数据,也常用在防止软件非法拷贝的"硬件锁"上面。

闪存(Flash)       
闪存(FLASH)是一种非易失性存储器,即断电数据也不会丢失。因为闪存不像RAM(随机存取存储器)一样以字节为单位改写数据,因此不能取代RAM。   
闪存卡(Flash Card)是利用闪存(Flash Memory)技术达到存储电子信息的存储器,一般应用在数码相机,掌上电脑,MP3等小型数码产品中作为存储介质,所以样子小巧,有如一张卡片,所以称之为闪存卡。根据不同的生产厂商和不同的应用,闪存卡大概有U盘、SmartMedia(SM卡)、Compact Flash(CF卡)、MultiMediaCard(MMC卡)、Secure Digital(SD卡)、Memory Stick(记忆棒)、XD-Picture Card(XD卡)和微硬盘(MICRODRIVE)。这些闪存卡虽然外观、规格不同,但是技术原理都是相同的。

        NAND FLASH和NOR FLASH都是现在用得比较多的非易失性闪存。
设计实现       
采用的并行接口,有独立的地址线和数据线,性能特点更像内存,是芯片内执行(XIP, eXecute In Place),这样应用程序可以直接在flash闪存内运行,不必再把代码读到系统RAM中。
        NAND采用的是串行的接口,地址线和数据线是共用的I/O线,类似电脑硬盘。CPU从里面读取数据的速度很慢,所以一般用NAND做闪存的话就必须把NAND里面的数据先读到内存里面,然后CPU才能够执行。但是它的集成度很高,成本很低。还有就是它的擦除速度也的NOR要快。其实NAND型闪存在设计之初确实考虑了与硬盘的兼容性,小数据块操作速度很慢,而大数据块速度就很快,这种差异远比其他存储介质大的多。这种性能特点非常值得我们留意

性能对比  flash闪存是非易失存储器,可以对称为块的存储器单元块进行擦写和再编程。任何flash器件的写入操作只能在空或已擦除的单元内进行,所以大多数情况下,在进行写入操作之前必须先执行擦除。NAND器件执行擦除操作是十分简单的,而NOR则要求在进行擦除前先要将目标块内所有的位都写为0。
  由于擦除NOR器件时是以64~128KB的块进行的,执行一个写入/擦除操作的时间为5s,与此相反,擦除NAND器件是以8~32KB的块进行的,执行相同的操作最多只需要4ms。
  执行擦除时块尺寸的不同进一步拉大了NOR和NADN之间的性能差距,统计表明,对于给定的一套写入操作(尤其是更新小文件时更多的擦除操作必须在基于NOR的单元中进行。这样,当选择存储解决方案时,设计师必须权衡以下的各项因素。
  ● NOR的读速度比NAND稍快一些。
  ● NAND的写入速度比NOR快很多。
  ● NAND的4ms擦除速度远比NOR的5s快。
  ● 大多数写入操作需要先进行擦除操作。
  ● NAND的擦除单元更小,相应的擦除电路更少。

接口差别  NOR flash带有SRAM接口,有足够的地址引脚来寻址,可以很容易地存取其内部的每一个字节。
  NAND器件使用复杂的I/O口来串行地存取数据,各个产品或厂商的方法可能各不相同。8个引脚用来传送控制、地址和数据信息。
  NAND读和写操作采用512字节的块,这一点有点像硬盘管理此类操作,很自然地,基于NAND的存储器就可以取代硬盘或其他块设备。

容量和成本 
 NAND flash的单元尺寸几乎是NOR器件的一半,由于生产过程更为简单,NAND结构可以在给定的模具尺寸内提供更高的容量,也就相应地降低了价格。
  NOR flash占据了容量为1~16MB闪存市场的大部分,而NAND flash只是用在8~128MB的产品当中,这也说明NOR主要应用在代码存储介质中,NAND适合于数据存储,NAND在CompactFlash、Secure Digital、PC Cards和MMC存储卡市场上所占份额最大。

可靠性和耐用性 
 采用flahs介质时一个需要重点考虑的问题是可靠性。对于需要扩展MTBF的系统来说,Flash是非常合适的存储方案。可以从寿命(耐用性)、位交换和坏块处理三个方面来比较NOR和NAND的可靠性。
寿命(耐用性)
  在NAND闪存中每个块的最大擦写次数是一百万次,而NOR的擦写次数是十万次。NAND存储器除了具有10比1的块擦除周期优势,典型的NAND块尺寸要比NOR器件小8倍,每个NAND存储器块在给定的时间内的删除次数要少一些。

位交换  
所有flash器件都受位交换现象的困扰。在某些情况下(很少见,NAND发生的次数要比NOR多),一个比特位会发生反转或被报告反转了。
  一位的变化可能不很明显,但是如果发生在一个关键文件上,这个小小的故障可能导致系统停机。如果只是报告有问题,多读几次就可能解决了。
  当然,如果这个位真的改变了,就必须采用错误探测/错误更正(EDC/ECC)算法。位反转的问题更多见于NAND闪存,NAND的供应商建议使用NAND闪存的时候,同时使用EDC/ECC算法。
        这个问题对于用NAND存储多媒体信息时倒不是致命的。当然,如果用本地存储设备来存储操作系统、配置文件或其他敏感信息时,必须使用EDC/ECC系统以确保可靠性。

坏块处理
 
 NAND器件中的坏块是随机分布的。以前也曾有过消除坏块的努力,但发现成品率太低,代价太高,根本不划算。
  NAND器件需要对介质进行初始化扫描以发现坏块,并将坏块标记为不可用。在已制成的器件中,如果通过可靠的方法不能进行这项处理,将导致高故障率。

易于使用 
 可以非常直接地使用基于NOR的闪存,可以像其他存储器那样连接,并可以在上面直接运行代码。
  由于需要I/O接口,NAND要复杂得多。各种NAND器件的存取方法因厂家而异。
  在使用NAND器件时,必须先写入驱动程序,才能继续执行其他操作。向NAND器件写入信息需要相当的技巧,因为设计师绝不能向坏块写入,这就意味着在NAND器件上自始至终都必须进行虚拟映射。

软件支持 
 当讨论软件支持的时候,应该区别基本的读/写/擦操作和高一级的用于磁盘仿真和闪存管理算法的软件,包括性能优化。
  在NOR器件上运行代码不需要任何的软件支持,在NAND器件上进行同样操作时,通常需要驱动程序,也就是内存技术驱动程序(MTD),NAND和NOR器件在进行写入和擦除操作时都需要MTD。
  使用NOR器件时所需要的MTD要相对少一些,许多厂商都提供用于NOR器件的更高级软件,这其中包括M-System的TrueFFS驱动,该驱动被Wind River System、Microsoft、QNX Software System、Symbian和Intel等厂商所采用。
  驱动还用于对DiskOnChip产品进行仿真和NAND闪存的管理,包括纠错、坏块处理和损耗平衡。

应用环境       
NOR型闪存现在的容量一般在2M左右,比较适合频繁随机读写的场合,通常用于存储程序代码并直接在闪存内运行,手机就是使用NOR型闪存的大户,所以手机的“内存”容量通常不大。另外用在代码量小的嵌入式产品方面,可以把LINUX操作系统剪裁到2M以内在其上面直接运行。
        NAND型闪存主要用来存储资料,我们常用的闪存产品,如闪存盘、数码存储卡、U盘、MP3等。另外用在那些要跑大型的操作系统的嵌入式产品上面,比如LINUX啊,WINCE啊。当然也可以把LINUX操作系统剪裁到2M以内在NOR Flash上运行。但是很多时候,一个嵌入式产品里面,操作系统占的存储空间只是一小部分,大部分都是给用户跑应用程序的。就像电脑,硬盘都是几百G,可是WINDOWNS操作系统所占的空间也不过几G而已。


总结:
简单地说,在计算机中,RAM 、ROM都是数据存储器。RAM 是随机存取存储器,它的特点是易挥发性,即掉电失忆。ROM 通常指固化存储器(一次写入,反复读取),它的特点与RAM 相反。
ROM又分一次性固化(PROM)、光擦除(EPROM)和电擦除(EEPROM)重写几种类型。举个例子来说也就是,如果突然停电或者没有保存就关闭了文件,那么ROM可以随机保存之前没有储存的文件但是RAM会使之前没有保存的文件消失。
RAM又分为静态随机存储器(SRAM)和动态随机存储器(DRAM)。


问与答
问题1:什么是DRAM、SRAM、SDRAM?答:名词解释如下DRAM--------动态随即存取器,需要不断的刷新,才能保存数据,而且是行列地址复用的,许多都有页模式SRAM--------静态的随机存储器,加电情况下,不需要刷新,数据不会丢失,而且一般不是行列地址复用的SDRAM-------同步的DRAM,即数据的读写需要时钟来同步
问题2:为什么DRAM要刷新,SRAM则不需要?答:这是由RAM的设计类型决定的,DRAM用了一个T和一个RC电路,导致电容会漏电和缓慢放电,所以需要经常刷新来保存数据
问题3:我们通常所说的内存用的是什么呢?这三个产品跟我们实际使用有什么关系?答:内存(即随机存贮器RAM)可分为静态随机存储器SRAM,和动态随机存储器DRAM两种。我们经常说的“内存”是指DRAM。而SRAM大家却接触的很少。
问题4:为什么使用DRAM比较多、而使用SRAM却很少?答:1)因为制造相同容量的SRAM比DRAM的成本高的多,正因为如此,才使其发展受到了限制。因此目前SRAM基本上只用于CPU内部的一级缓存以及内置的二级缓存。仅有少量的网络服务器以及路由器上能够使用SRAM。2)存储单元结构不同导致了容量的不同:一个DRAM存储单元大约需要一个晶体管和一个电容(不包括行读出放大器等),而一个SRAM存储单元大约需要六个晶体管。DRAM和SDRAM由于实现工艺问题,容量较SRAM大,但是读写速度不如SRAM。
问题5:用得最多的DRAM有什么特点呢?它的工艺是什么情况?(通常所说的内存就是DRAM)答:1)DRAM需要进行周期性的刷新操作,我们不应将SRAM与只读存储器(ROM)和Flash Memory相混淆,因为SRAM是一种易失性存储器,它只有在电源保持连续供应的情况下才能够保持数据。“随机访问”是指存储器的内容可以以任何顺序访问,而不管前一次访问的是哪一个位置。2)DRAM和SDRAM由于实现工艺问题,容量较SRAM大。但是读写速度不如SRAM,但是现在,SDRAM的速度也已经很快了,时钟好像已经有150兆的了。那么就是读写周期小于10ns了。3)SDRAM虽然工作频率高,但是实际吞吐率要打折扣。以PC133为例,它的时钟周期是7.5ns,当CAS latency=2 时,它需要12个周期完成8个突发读操作,10个周期完成8个突发写操作。不过,如果以交替方式访问Bank,SDRAM可以在每个周期完成一个读写操作(当然除去刷新操作)。4)其实现在的主流高速存储器是SSRAM(同步SRAM)和SDRAM(同步DRAM)。目前可以方便买到的SSRAM最大容量是8Mb/片,最大工作速度是166MHz;可以方便买到的SDRAM最大容量是128Mb/片,最大工作速度是133MHz。
问题6:用得比较少但速度很快,通常用于服务器cache的SRAM有什么特点呢?答:1)SRAM是静态的,DRAM或SDRAM是动态的,静态的是用的双稳态触发器来保存信息,而动态的是用电子,要不时的刷新来保持。SRAM是Static Random Access Memory的缩写,中文含义为静态随机访问存储器,它是一种类型的半导体存储器。“静态”是指只要不掉电,存储在SRAM中的数据就不会丢失。2)SRAM其实是一种非常重要的存储器,它的用途广泛。SRAM的速度非常快,在快速读取和刷新时能够保持数据完整性。SRAM内部采用的是双稳态电路的形式来存储数据。所以SRAM的电路结构非常复杂。3)从晶体管的类型分,SRAM可以分为双极性与CMOS两种。从功能上分,SRAM可以分为异步SRAM和同步SRAM(SSRAM)。异步SRAM的访问独立于时钟,数据输入和输出都由地址的变化控制。同步SRAM的所有访问都在时钟的上升/下降沿启动。地址、数据输入和其它控制信号均于时钟信号相关。最后要说明的一点: SRAM不应该与SDRAM相混淆,SDRAM代表的是同步DRAM(Synchronous DRAM),这与SRAM是完全不同的。SRAM也不应该与PSRAM相混淆,PSRAM是一种伪装成SRAM的DRAM。

阿莫论坛20周年了!感谢大家的支持与爱护!!

一只鸟敢站在脆弱的枝条上歇脚,它依仗的不是枝条不会断,而是自己有翅膀,会飞。

出0入0汤圆

发表于 2012-5-21 15:28:16 | 显示全部楼层
不错,基本都全了

出0入442汤圆

发表于 2012-5-21 18:16:42 | 显示全部楼层
拜托你写这跟没写没多大区别,搞硬件的这些不理解就不叫搞硬件的。关键是这些器件如何操作,如何控制,怎样写时序,这才是重点。

出0入618汤圆

发表于 2012-5-21 18:55:52 | 显示全部楼层
SDRAM == SRAM + DRAM ???

出0入0汤圆

发表于 2012-5-21 19:22:17 | 显示全部楼层
还有种 PSRAM, 以前很多GBA/DS烧录卡用过...

出0入0汤圆

发表于 2012-5-27 22:30:04 | 显示全部楼层
wye11083 发表于 2012-5-21 18:16
拜托你写这跟没写没多大区别,搞硬件的这些不理解就不叫搞硬件的。关键是这些器件如何操作,如何控制,怎样 ...

我认为很好啊。
坛子里是有大牛,但更多的是刚入门和想入门的朋友。。这些还是很有帮助的吧!

出0入0汤圆

发表于 2012-6-7 22:23:37 | 显示全部楼层
很好的学习存储器的资料,谢谢~

出0入30汤圆

发表于 2012-6-7 22:48:09 | 显示全部楼层
资料很不错。

出0入0汤圆

发表于 2012-6-14 21:39:14 | 显示全部楼层
key                     

出0入0汤圆

发表于 2012-6-25 22:12:15 | 显示全部楼层
顶一个,收藏了,慢慢品味

出0入0汤圆

发表于 2012-8-3 10:41:28 | 显示全部楼层
如果再能介绍下DDR1 2 3系列就更好了。

出0入0汤圆

发表于 2012-8-3 15:48:34 | 显示全部楼层
mark~~~~~~

出0入0汤圆

发表于 2012-8-7 13:35:03 | 显示全部楼层
不错 学习下拉

出0入0汤圆

发表于 2013-5-8 10:00:27 | 显示全部楼层
正要学,就来了。

出0入0汤圆

发表于 2013-8-4 20:08:26 | 显示全部楼层
需要一个大的RAM做FIFO用,看来也只有选择DRAM了。

出0入0汤圆

发表于 2013-8-9 11:43:26 | 显示全部楼层
收集的比较全,mark!

出0入0汤圆

发表于 2014-2-18 23:20:35 | 显示全部楼层
介绍的挺全的

出0入0汤圆

发表于 2014-2-19 09:41:55 来自手机 | 显示全部楼层
收集的不错,mark一下!

出0入0汤圆

发表于 2014-2-19 11:36:01 | 显示全部楼层
新手学习了

出0入0汤圆

发表于 2014-2-28 11:54:03 | 显示全部楼层
收藏了,下次需要的时候再看

出0入0汤圆

发表于 2014-3-2 22:06:29 | 显示全部楼层
资料不错,但是看完之后还是不是很分的清楚,慢慢来

出0入0汤圆

发表于 2014-3-4 13:45:37 | 显示全部楼层
受教了!!!!!!!!!

出0入0汤圆

发表于 2014-3-4 19:44:16 | 显示全部楼层
讲的很好

出0入0汤圆

发表于 2014-3-4 20:43:01 | 显示全部楼层
能不能再来一点时序操作介绍

出0入0汤圆

发表于 2014-4-25 22:55:15 | 显示全部楼层
好东西,谢谢分享

出0入0汤圆

发表于 2014-6-1 10:06:39 | 显示全部楼层
支持楼主

出0入0汤圆

发表于 2014-7-7 10:51:04 | 显示全部楼层
mark

出0入0汤圆

发表于 2014-7-7 11:27:33 | 显示全部楼层
SRAM好用,噪声低,就是贵。

出0入0汤圆

发表于 2014-7-7 11:40:13 | 显示全部楼层
这个总结蛮不错的了。

出0入0汤圆

发表于 2014-8-9 11:13:57 | 显示全部楼层
不错,解释的很全面啊,赞一个

出0入4汤圆

发表于 2014-8-17 12:13:17 | 显示全部楼层
慨念清楚点了

出0入0汤圆

发表于 2014-10-18 16:22:16 | 显示全部楼层
SDRAM和DRAM的用法区别呢?  难道是差一根时钟信号吗?

出0入0汤圆

发表于 2014-10-18 19:57:33 | 显示全部楼层
不错,基本都全了

出0入0汤圆

发表于 2014-10-20 15:40:22 | 显示全部楼层
谢谢, 学习一下

出0入0汤圆

发表于 2014-10-20 16:00:13 | 显示全部楼层
谢谢,学习了

出0入0汤圆

发表于 2014-10-20 16:07:48 | 显示全部楼层
谢谢,学习了!!

出0入0汤圆

发表于 2014-10-25 20:09:15 | 显示全部楼层
收藏了,谢啦!

出0入0汤圆

发表于 2014-10-28 14:54:32 来自手机 | 显示全部楼层
3楼说的很好    这些看了之后帮助有限

出0入0汤圆

发表于 2014-11-7 17:06:01 | 显示全部楼层
基本的都有了

出0入0汤圆

发表于 2014-11-7 17:17:05 | 显示全部楼层
学习学习

出0入0汤圆

发表于 2014-11-17 22:10:45 | 显示全部楼层
好东西,必需要顶一下

出0入0汤圆

发表于 2014-11-20 15:35:30 | 显示全部楼层
如果有一些关于时序控制、具体功能实现之类的,那就更好了
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-3-29 16:32

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表