搜索
bottom↓
回复: 86

【开源】FPGA竞赛实战系列套件资料(外挂模块可达10多块)

  [复制链接]

出0入0汤圆

发表于 2013-6-15 12:53:46 | 显示全部楼层 |阅读模式
本帖最后由 navalguy2 于 2013-6-15 12:57 编辑

最新研制成功一款FPGA竞赛实战系列套件,采用FPGA竞赛系统板(FPGA核心板+扩展底板)+外围数字电路模块(矩阵键盘/拨码开关输入模块、数码管/液晶显示模块)+外围数模混合电路模块(单路高速A/D模块、单路高速D/A模块)+外围模拟电路模块(前级衰减模块、前级放大模块、通用放大模块、通用滤波模块、压控增益放大模块、自动增益控制模块)等组合套装。

性价比高,适用广大竞赛学生赛前训练和实际参赛。

相比之前做的竞赛套件(http://item.taobao.com/item.htm?id=4146534554),新款套件的供电简单(单5供电)、模块功能更多、成本更低。



相关资料:
FPGA竞赛实战扩展底板(原理图):
FPGA(XC3S400-PQ208)核心板(原理图):
FPGA(EP1C6(12)-PQ240)核心板(原理图):
FPGA核心板与底板管脚对照表:
输入模块(4x4矩阵键盘+8位拨码开关)(原理图):
显示模块(2x4位数码管+1602液晶+12864液晶显示):
高速AD模数转换模块(ADS822E)(10Bit,40MSPS)(原理图):
高速DA数模转换模块(DAC900E)(10Bit,165MSPS)(原理图):
通用运放模块(单电源或双电源供电)(同向或反向放大)(原理图):
通用滤波模块(四阶有源滤波器)(低通或高通或带通或带阻)(原理图):

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

阿莫论坛20周年了!感谢大家的支持与爱护!!

如果想吃一顿饺子,就得从冰箱里取出肉,剁馅儿,倒面粉、揉面、醒面,擀成皮儿,下锅……
一整个繁琐流程,就是为了出锅时那一嘴滚烫流油的热饺子。

如果这个过程,禁不住饿,零食下肚了,饺子出锅时也就不香了……《非诚勿扰3》

出0入0汤圆

发表于 2013-6-15 19:44:10 | 显示全部楼层
NB              

出0入0汤圆

发表于 2013-6-15 19:55:28 | 显示全部楼层
楼主的FPGA系列一直令人印象深刻

出0入0汤圆

发表于 2013-6-15 22:01:45 | 显示全部楼层
放点example出来吧!呵呵!

出0入0汤圆

发表于 2013-6-15 22:03:31 | 显示全部楼层
最好是所有外设同时用上的那种,当然最好不用软cpu!

出0入0汤圆

发表于 2013-6-16 21:53:54 | 显示全部楼层
EP1的片子,跑起来压力略大啊,为什么不用cyclone4的呢?

出0入0汤圆

发表于 2013-6-16 22:53:53 | 显示全部楼层
貌似好像见过此贴

出0入0汤圆

发表于 2013-6-17 11:15:16 | 显示全部楼层
必须膜拜啊

出0入0汤圆

发表于 2013-6-17 11:23:45 | 显示全部楼层
lz太强大了。

出0入0汤圆

发表于 2013-6-17 22:34:50 | 显示全部楼层
谢谢LZ分享,收藏了~

出0入0汤圆

 楼主| 发表于 2013-6-24 22:51:19 | 显示全部楼层
显示模块测试实验1(数码管显示实验):




本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

 楼主| 发表于 2013-6-24 23:02:26 | 显示全部楼层
显示模块测试实验2(1602英文字符显示实验)




本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

发表于 2013-6-25 09:49:49 | 显示全部楼层
这是专业做竞赛啊

出0入0汤圆

发表于 2013-6-26 13:13:28 | 显示全部楼层
第二次 见到的楼主

出0入0汤圆

发表于 2013-6-26 16:34:52 | 显示全部楼层

出0入0汤圆

 楼主| 发表于 2013-7-4 07:38:01 | 显示全部楼层
高速DA模块(DAC900E)测试实验




本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

 楼主| 发表于 2013-7-4 07:43:25 | 显示全部楼层
本帖最后由 navalguy2 于 2013-7-4 07:45 编辑

这款DA模块的高频指标还是很不错的,正弦波输出频率可达40M。

高速DA模块输出10MHz正弦波(160M 时钟):



高速DA模块输出20MHz正弦波(160M 时钟):



高速DA模块输出40MHz正弦波(160M 时钟):

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

发表于 2013-7-4 07:44:30 | 显示全部楼层
这个猛!!!支持下~

出0入0汤圆

 楼主| 发表于 2013-7-14 11:17:16 | 显示全部楼层
高速AD模块(AD822E)测试实验:




本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

发表于 2013-7-14 15:12:50 | 显示全部楼层
mark               

出0入0汤圆

发表于 2013-7-14 16:10:18 | 显示全部楼层
mark!!!!!!!!!!!

出0入0汤圆

发表于 2013-7-14 20:15:03 | 显示全部楼层
mark...................

出0入0汤圆

发表于 2013-7-17 13:06:08 | 显示全部楼层
LZ给力!!!!

出0入0汤圆

 楼主| 发表于 2013-7-21 12:13:58 | 显示全部楼层
Puzzle 发表于 2013-6-16 21:53
EP1的片子,跑起来压力略大啊,为什么不用cyclone4的呢?

主要考虑针对一些简单的时序逻辑方面的应用,EP1的片子IO口多,兼容5VTTL。以后也有升级核心板的打算。

出0入0汤圆

发表于 2013-7-23 00:37:57 | 显示全部楼层
今天看到老师拿了几个模拟的电路模块。。。貌似和上图差不多。。。不过高频的东西都不简单,能做稳定出来的都是大神啊

出0入0汤圆

 楼主| 发表于 2013-7-24 21:11:37 | 显示全部楼层
逍遥慨 发表于 2013-7-23 00:37
今天看到老师拿了几个模拟的电路模块。。。貌似和上图差不多。。。不过高频的东西都不简单,能做稳定出来的 ...

呵呵,用的是我们的模块吗,效果如何?模拟模块要用好也不容易,供电和接线都要注意。

出0入0汤圆

发表于 2013-7-24 23:19:53 | 显示全部楼层
兄,有发票吗?

出0入0汤圆

发表于 2013-7-25 19:05:31 | 显示全部楼层
navalguy2 发表于 2013-7-24 21:11
呵呵,用的是我们的模块吗,效果如何?模拟模块要用好也不容易,供电和接线都要注意。 ...

应该是,板子看起来是一样的,我们组还没做这个题目,过几天会做到

出0入0汤圆

 楼主| 发表于 2013-7-26 20:42:45 | 显示全部楼层
逍遥慨 发表于 2013-7-25 19:05
应该是,板子看起来是一样的,我们组还没做这个题目,过几天会做到

是吧,好,有什么问题可以一起交流讨论。

出0入0汤圆

发表于 2013-7-27 10:13:29 | 显示全部楼层
好东西好定西

出0入0汤圆

 楼主| 发表于 2013-8-2 08:24:13 | 显示全部楼层
最近还想再开发一批外围模块,如音频功放、宽带功放、幅相检波、调制解调模块等等,架构兼容新版竞赛套件。大家有什么好的建议,一起讨论一下。

出0入0汤圆

发表于 2013-8-2 09:46:18 | 显示全部楼层
NICE 啊 竞赛实用系列!MARK

出0入0汤圆

 楼主| 发表于 2013-8-8 07:52:23 | 显示全部楼层
音频功放打算选用LM1875芯片

出0入0汤圆

 楼主| 发表于 2013-8-14 00:20:03 | 显示全部楼层
宽带功放拟采用THS3091*3

出0入0汤圆

发表于 2013-8-14 08:22:23 | 显示全部楼层
navalguy2 发表于 2013-8-8 07:52
音频功放打算选用LM1875芯片

干嘛不用数字功放芯片呢,比如TA2022之类的。

出0入0汤圆

发表于 2013-8-16 07:46:33 | 显示全部楼层
楼主功底厉害,板子做得跟官方的demo一样,既然是为FPGA的竞赛做支撑,不知道楼主是否会做一些FIR滤波器,FFT之类,因为你的ADDA都有了嘛,搞点数字信号处理的东西,就更加有市场了。

出0入0汤圆

发表于 2013-8-16 08:03:49 | 显示全部楼层
感觉楼主钟情于TI的芯片,哈哈

出0入0汤圆

发表于 2013-8-17 14:28:18 | 显示全部楼层
价格降下来点,还是很有竞争力的在高校。显然高校也不差这点钱。
头像被屏蔽

出0入0汤圆

发表于 2013-8-18 19:02:49 | 显示全部楼层
提示: 作者被禁止或删除 内容自动屏蔽

出0入0汤圆

发表于 2013-8-19 01:23:45 | 显示全部楼层
牛逼轰轰 ,频率最大是多少

出0入0汤圆

 楼主| 发表于 2013-8-21 08:44:36 | 显示全部楼层
笑笑我笑了 发表于 2013-8-14 08:22
干嘛不用数字功放芯片呢,比如TA2022之类的。

恩,数字功放模块也考虑做的,谢谢您的建议。

出0入0汤圆

 楼主| 发表于 2013-9-30 19:39:33 | 显示全部楼层
FuARM 发表于 2013-8-16 07:46
楼主功底厉害,板子做得跟官方的demo一样,既然是为FPGA的竞赛做支撑,不知道楼主是否会做一些FIR滤波器,FFT ...

FPGA实现FIR和FFT等数字信号处理方面的应用也是有的。在旧版的竞赛套件里有这些例程,后续考虑移植到新版套件中。

出0入0汤圆

 楼主| 发表于 2013-10-22 00:22:08 | 显示全部楼层
比赛已结束,最近有时间了,考虑总结整理一下。
新版模块的一些想法:
1、放大1000 倍(60db)的小信号放大模块,低漂移的直流宽带运放组板,带宽>150MHz。
2、 乘法器模块的制作,应对出现的乘法器的模块
3 、数字控制滤波频点的滤波模块
4 、红外调制解调模块(长距离)
5、音频的调制解调
6 、幅相检测模块
7、功率放大模块,包括音频功放、宽带功放等。

出0入0汤圆

发表于 2013-10-25 10:31:58 | 显示全部楼层
本帖最后由 ammcu 于 2013-10-25 10:33 编辑
navalguy2 发表于 2013-10-22 00:22
比赛已结束,最近有时间了,考虑总结整理一下。
新版模块的一些想法:
1、放大1000 倍(60db)的小信号放大 ...


很希望新版能升级到sp6,比较有dsp单元,缺点是bga封装,成本上升,希望至少也能升级到sp3系列,不知道新版预期能什么时候上架
还有能开发票报销吗

出0入0汤圆

发表于 2013-10-25 21:08:23 | 显示全部楼层
谢谢楼主分享!!!

出0入0汤圆

 楼主| 发表于 2013-11-3 12:53:18 | 显示全部楼层
ammcu 发表于 2013-10-25 10:31
很希望新版能升级到sp6,比较有dsp单元,缺点是bga封装,成本上升,希望至少也能升级到sp3系列,不知道新 ...

现在新板的xilinx核心板就是SP3系列的,正准备研制SP6核心板,考虑到成本,暂时不采用BGA封装芯片,可能会选择IO口相对少一些的TQ144封装。

出0入0汤圆

发表于 2013-11-5 09:12:21 | 显示全部楼层
navalguy2 发表于 2013-11-3 12:53
现在新板的xilinx核心板就是SP3系列的,正准备研制SP6核心板,考虑到成本,暂时不采用BGA封装芯片,可能 ...

sp6新核心板对已有模块兼容度如何?

出0入0汤圆

 楼主| 发表于 2013-11-10 23:41:51 | 显示全部楼层
ammcu 发表于 2013-11-5 09:12
sp6新核心板对已有模块兼容度如何?

SP6新核心板的设计将继续考虑兼容原有SP2和SP3核心板管脚,板子尺寸相同,但是SP6新核心板的IO口少了很多。

出0入0汤圆

 楼主| 发表于 2013-11-20 22:23:00 | 显示全部楼层
最新做的宽带功率放大模块:

详细介绍:http://item.taobao.com/item.htm?id=35935926338

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

 楼主| 发表于 2013-12-22 18:40:26 | 显示全部楼层
最新做的音频功率放大模块:

详细介绍:http://item.taobao.com/item.htm?id=35943468450

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

 楼主| 发表于 2014-1-5 17:28:39 | 显示全部楼层
最近还在开发一款程控滤波器,采用LTC1068滤波器,滤波器的频率特性可以通过外部时钟来控制。

出0入0汤圆

发表于 2014-1-6 07:52:40 | 显示全部楼层
楼主的东西非常不错,spartan6还是上BGA 吧,资源多些。

出0入0汤圆

发表于 2014-1-6 08:28:17 | 显示全部楼层
不错,接口模块挺多的

出0入0汤圆

 楼主| 发表于 2014-1-12 11:01:03 | 显示全部楼层
guer 发表于 2014-1-6 07:52
楼主的东西非常不错,spartan6还是上BGA 吧,资源多些。

SP6核心板的芯片选型一直很纠结,SP6系列没有PQ208或PQ240封装,只有TQ144,IO口比较少,再要想多的话,就得BGA封装了。BGA核心板的成本和焊接就比较麻烦了。

出0入0汤圆

发表于 2014-1-18 16:38:23 | 显示全部楼层
好东西,顶顶顶顶顶顶顶顶顶顶

出0入0汤圆

 楼主| 发表于 2014-1-26 20:27:54 | 显示全部楼层
新做的LTC1068滤波器模块:

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x
头像被屏蔽

出0入0汤圆

发表于 2014-3-8 11:04:55 | 显示全部楼层
提示: 作者被禁止或删除 内容自动屏蔽

出0入0汤圆

 楼主| 发表于 2014-4-1 20:29:44 | 显示全部楼层
LTC1068滤波器模块详细介绍:http://item.taobao.com/item.htm?id=38162286836

出0入0汤圆

发表于 2014-4-1 20:39:59 | 显示全部楼层
mark~!!!!!!!!!

出0入0汤圆

发表于 2014-4-2 10:33:12 | 显示全部楼层
通用滤波模块中地线上阶一个电阻的作用是什么?

出0入0汤圆

发表于 2014-4-4 18:03:43 | 显示全部楼层
可有网盘啊,我去下载

出0入0汤圆

 楼主| 发表于 2014-4-8 20:03:07 | 显示全部楼层
新做的MAX038模块:

支持方波、三角波、正弦波产生,频率可达20MHz,频率可调,占空比可调。作为一个测试信号源,用起来还是蛮方便的。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

 楼主| 发表于 2014-4-13 09:23:55 | 显示全部楼层
MAX038函数发生器模块详细介绍:http://item.taobao.com/item.htm?id=38162910994

出0入0汤圆

 楼主| 发表于 2014-4-13 09:40:37 | 显示全部楼层
该模块主要特点:
1、采用Maxim公司的MAX038芯片,可产生高频正弦波、三角波和方波,高频频率指标可达20MHz。
2、输出波形可通过1个2位拨码开关来选择,频率档位(分为5档频率)可通过1个4位拨码开关来选择,输出频率和占空比可通过可调电位器手动调节。
3、采用外部±5V双电源供电设计,满足双极性信号输出要求。
4、接口说明,+5V,-5V、GND分别接正负电源和地,SYNC为同步信号输出端(TTL电平,可作为LTC1068程控滤波器模块的控制时钟),SIGOUT为信号波形输出端,Vpp=2V(-1V~+1V)。
5、工作电压:典型值为±5V。

出0入0汤圆

发表于 2014-4-13 18:00:43 | 显示全部楼层
本帖最后由 ammcu 于 2014-4-13 18:04 编辑
navalguy2 发表于 2014-4-13 09:40
该模块主要特点:
1、采用Maxim公司的MAX038芯片,可产生高频正弦波、三角波和方波,高频频率指标可达20MH ...


忘了问了,quartus和ise分别是什么版本的?楼主 www.sihangtek.com/bbs/论坛挂了,主页也面目全非了,资料推荐百度网盘分流下载吧

出0入0汤圆

发表于 2014-4-17 22:05:00 | 显示全部楼层
谢谢分享

出0入0汤圆

发表于 2014-4-17 22:59:32 | 显示全部楼层
nb

出0入0汤圆

发表于 2014-4-19 18:58:14 | 显示全部楼层
怒mark,太NB了

出0入0汤圆

发表于 2014-4-19 20:17:58 | 显示全部楼层
mark

出0入0汤圆

发表于 2014-4-19 21:28:41 | 显示全部楼层
楼主,嵌入51核那个没有成功,能写详细教程吗?谢谢

出0入0汤圆

 楼主| 发表于 2014-5-3 12:16:10 | 显示全部楼层
新做的高频滤波器模块:

支持低通或窄带带通或宽带带通配置,芯片3dB频点范围:200K~10MHz,频点调整方便,只需更换外围少数电阻器件。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

 楼主| 发表于 2014-5-6 20:41:16 | 显示全部楼层
新做的射频衰减器模块:0-2.5GHz,0-35dB,1dB步进,手动调节,可调衰减。

射频衰减器模块详细介绍:http://item.taobao.com/item.htm?id=38789693339

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

发表于 2014-5-7 19:18:50 | 显示全部楼层
板子做的好整齐啊,学习学习

出0入0汤圆

 楼主| 发表于 2014-5-11 14:14:25 | 显示全部楼层
新做的乘法器模块(AD835)(W=XY)(混频器)(调制解调):

详情请见:http://item.taobao.com/item.htm?id=38641049834

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

 楼主| 发表于 2014-5-18 14:25:58 | 显示全部楼层
乘法器模块(AD835)(W=XY)(混频器)(调制解调)(电子竞赛模块):乘法器模块采用具有完备四象限电压输出模拟放大功能的AD835芯片,超低噪声,性能优异,工作频率带宽:250MHz,公式W=XY+Z,模块Z端默认接地。非常适合电子竞赛题目中的乘法器、混频器功能应用。

出0入0汤圆

发表于 2014-5-30 17:07:25 | 显示全部楼层
好东西,收藏了~

出0入0汤圆

发表于 2014-6-1 07:43:13 | 显示全部楼层
支持一下。。

出0入0汤圆

 楼主| 发表于 2014-7-11 08:36:25 | 显示全部楼层
又到暑假了,不知大家今年省赛准备的怎样了,有什么好的经验和建议,可以一起来交流一下!

出0入0汤圆

发表于 2014-7-12 20:35:35 | 显示全部楼层
谢谢楼主。

出0入0汤圆

发表于 2014-7-12 20:49:27 | 显示全部楼层
高手一枚呀

出0入0汤圆

发表于 2014-7-27 14:33:48 | 显示全部楼层
极品高手!好好学习,天天向上!

出0入0汤圆

 楼主| 发表于 2014-8-8 19:51:11 | 显示全部楼层
2014年TI杯电子设计竞赛推荐芯片列表:
电源类        MOSFET驱动器        TPS28225DR        8-Pin High Frequency 4-Amp Sink Synchronous MOSFET Driver
                UCC27211DDAR        120V Boot, 4A Peak, High Frequency High-Side Low-Side Driver
        降压开关电源,MOS管内置        TPS54340DDAR        42 V Input, 3.5 A, Step-Down DC/DC Converter with Eco-mode™
                TPS56528DDAR        4.5V to 18V Input, 5-A Synchronous Step-Down Converter with Advanced Eco-mode™
        降压线性电源        TPS7A1601QDGNRQ1        Automotive Catalog 60V, 5-µA Iq, 100-mA, Low-Dropout Voltage Regulator with Enable and Power Good
        降压线性电源        TPS7A4001DGNR        100V Input, 50mA, Single Output Low-Dropout Linear Regulator
        升压开关电源,MOS管外置        TPS40210DGQR        Wide Input Range Current Mode Boost Controller
        MOS管        CSD19535KCS        100V, N-Channel NexFET™ Power MOSFET
        电流检测芯片        INA210AIDCKR        Voltage Output, High/Low-Side Measurement, Bi-Directional Zero-Drift Series Current Shunt Monitor
                INA282AIDR        Wide Common Mode Range, Bidirectional, High Accuracy Current Shunt Monitor
                       
高速放大器        电流反馈        THS3201D        1.8GHz Current Feedback Amplifier
                LMH6703MF/NOPB        1.2 GHz, Low Distortion Op Amp with Shutdown
                OPA2695ID        Dual, Ultra-Wideband, Current-Feedback Operational Amplifier with Disable
        差分输入压控增益放大器        VCA821ID        Wideband, > 40dB Adjust Range, Linear in dB Variable Gain Amplifier
        全差分放大器        LMH6552MA/NOPB        1.5 GHz Fully Differential Amplifier
        电压反馈        OPA842IDR        Wideband, Low Distortion, Unity Gain Stable, Voltage Feedback Operational Amplifier
        5V 电压反馈        OPA2356AIDR        2.5V, 200MHz GBW, CMOS Dual Op Amp
                       
精密ADC/DAC        Delta-Sigma        ADS1118IDGSR        16-Bit ADC with Integrated MUX, PGA, Temperature Sensor, Oscillator, and Reference
        Multiplying DAC, R-2R        DAC7811IDGSR        12-Bit, Serial Input, Multiplying Digital to Analog Converter
        R-String DAC        DAC8571IDGKR        Low-Power Rail-To-Rail Output 16-Bit I2C Input DAC
        3V 参考源        REF3330AIDBZR        30ppm/C Drift, 3.9uA, SOT23-3, SC70-3 Voltage Reference
                       
精密放大器        5V CMOS 仪表放大器        INA333AIDGKR        Low Power, Precision Instrumentation Amplifier
        36V 仪表放大器        INA826AID        Precision, 200-μA Supply Current, 36-V Supply Instrumentation Amplifier
        36V 精密放大器        OPA192IDR        High Voltage, Rail-to-Rail Input/Output,Precision Op Amps,GBW = 10MHz
        5V CMOS 放大器        OPA2320AIDGKR        Precision, 20MHz, 0.9pA Ib, RRIO, CMOS Operational Amplifier
        5V CMOS 放大器        OPA2330AIDR        1.8V, 35µA, microPower, Precision, Zero Drift CMOS Op Amp
        5V CMOS 放大器        OPA2376AIDR        Precision, Low Noise, Low Quiescent Current Operational Amplifier
                       
音频功放        D类25W功放        TPA3112D1PWPR        25-W Filter-Free Mono Class-D Audio Amplifier with SpeakerGuard™ (TPA3112)
                       
其他        高速比较器        TLV3501AIDR        4.5ns Rail-to-Rail, High Speed Comparator in Microsized Packages
        1bit 数字缓冲器        SN74AUP1G07DBVR        Low-Power Single Buffer/Driver with Open-Drain Outputs
        5V 2选一模拟开关        TS5A3159DBVR        1-Ohm SPDT Analog Switch
        5V 单通道模拟开关        TS5A3166DBVR       
        12V 单通道模拟开关        TS12A4515DR       

出0入0汤圆

发表于 2014-8-9 10:57:14 | 显示全部楼层
不错,板子很工整。

出0入10汤圆

发表于 2014-8-9 12:02:15 | 显示全部楼层
NB           

出0入0汤圆

 楼主| 发表于 2014-8-12 09:36:49 | 显示全部楼层
本帖最后由 navalguy2 于 2014-8-12 09:38 编辑

2014 年TI杯大学生电子设计竞赛
C题:锁定放大器的设计
1.任务
设计制作一个用来检测微弱信号的锁定放大器(LIA)。
(1)外接信号源提供频率为1kHz的正弦波信号,幅度自定,输入至参考信号R(t)端。R(t)通过自制电阻分压网络降压接至被测信号S(t)端,S(t)幅度有效值为 10μV~1mV。(5分)
(2)参考通道的输出r(t)为方波信号,r(t)的相位相对参考信号R(t)可连续或步进移相180度,步进间距小于10度。(20分)
(3)信号通道的3dB频带范围为900Hz~1100Hz。误差小于20%。(10分)
(4)在锁定放大器输出端,设计一个能测量显示被测信号S(t)幅度有效值的电路。测量显示值与S(t)有效值的误差小于10%。(15分)
(5)在锁定放大器信号S(t)输入端增加一个运放构成的加法器电路,实现S(t)与干扰信号n(t)的1:1叠加,如图2所示。(5分)
(6)用另一信号源产生一个频率为1050~2100Hz的正弦波信号,作为n(t)叠加在锁定放大器的输入端,信号幅度等于S(t)。n(t)亦可由与获得S(t)同样结构的电阻分压网络得到。锁定放大器应尽量降低n(t)对S(t)信号有效值测量的影响,测量误差小于10%。(20分)
(7)增加n(t)幅度,使之等于10S(t),锁定放大器对S(t)信号有效值的测量误差小于10%。(20分)
(8)其他自主发挥。(5分)
(9)设计报告。(20分)

C题:锁定放大器的设计部分解决方案
1、“交流放大器”解决方案:
采用前级低噪声高输入阻抗小信号放大模块(http://item.taobao.com/item.htm?id=25722932117
2、 “带通滤波器”、“低通滤波器”解决方案:
采用通用滤波模块(http://item.taobao.com/item.htm?id=20937055714
3、“加法器”解决方案:
采用加法器模块(http://item.taobao.com/item.htm?id=38640861635
4、“直流放大器”解决方案:
采用通用运放模块(http://item.taobao.com/item.htm?id=25736436260

出0入0汤圆

 楼主| 发表于 2014-8-12 09:39:53 | 显示全部楼层
2014 年TI杯大学生电子设计竞赛
E题:∑-Δ型A/D转换电路
1.任务
设计并制作1阶∑-Δ调制器,并在此基础上设计并制作∑-Δ型A/D转换电路。
2.要求
(1)设计并制作1阶∑-Δ调制器,具体电路框图如图2所示。图中 为2V。要求∑-Δ调制器输出的1位数据流为TTL电平,时钟频率 自定。(12分)
(2)利用(1)中制作的1阶∑-Δ调制器,设计并制作∑-Δ 型A/D转换电路。要求A/D转换电路可设置工作于下列两种模式:                    (32分)
        模式1,采样频率为100Hz,采样位数为12位;
        模式2,采样频率为1600Hz,采样位数为8位。
(3)设计并制作∑-Δ型A/D转换电路的采样数据显示装置。要求可以显示A/D转换电路连续采样数据波形,显示的波形数据点数不少于200点。同时,在波形上显示一个光标,移动光标时能显示相应波形点的采样数据。  (6分)
(4)改进∑-Δ 型A/D转换电路的显示装置,要求能计算A/D转换电路输出的采样数据的方差 ,并实时显示。方差的计算使用连续1秒的采样数据直接计算。
                                                               (10分)
(5)改进∑-Δ型 A/D转换电路的设计,尽量减小A/D转换电路的本底噪声和量化噪声,提高∑-Δ 型A/D转换电路的采样精度。实现∑-Δ 型A/D转换电路能工作于下列模式:                                            (20分)
(6)进一步提高∑-Δ型A/D转换电路的采样速度。实现∑-Δ 型A/D转换电路能工作于下列模式:                                             (15分)
(7)其他自主发挥。                                             (5分)
(8)设计报告                                                  (20分)
3.说明
(1) 要求(1)中,要求减法器、积分电路、比较器和1位DAC分别用独立电路实现,并在A、B、C、D信号处应留有测试口,以便对信号波形进行观察。图3
(2) 要求(3)设计并制作采样数据显示装置,可以使用自制的液晶显示;也可以借助外部显示设备,如示波器。但必须能显示∑-Δ 型A/D转换电路输出的连续采样数据,并能显示采样信号的波形。
(3) 采样数据的方差 计算:将∑-Δ 型A/D转换电路输出的一组采样数据,记为 ,计算其均值 ,则方差 为:


E题:∑-Δ型A/D转换电路部分解决方案
1、“减法器”解决方案(加法器+反相器):
采用加法器模块(http://item.taobao.com/item.htm?id=38640861635
采用通用运放模块(改成反相器)(http://item.taobao.com/item.htm?id=25736436260
2、“积分电路”解决方案:
采用通用运放模块(改成积分器)(http://item.taobao.com/item.htm?id=25736436260
3、“比较器”解决方案:
采用通用运放模块(改成比较器)(http://item.taobao.com/item.htm?id=25736436260
4、 “FPGA与采样数据波形显示装置”解决方案(FPGA+双路DA+模拟示波器):
采用双路DA模块(赠送存储示波器FPGA例程)(http://item.taobao.com/item.htm?id=4148756540

出0入0汤圆

 楼主| 发表于 2014-8-12 09:41:18 | 显示全部楼层
H题:自动增益控制放大器(高职高专)
1.        任务
设计一个可以根据输入信号及环境噪声幅度自动调节音量的自动增益控制音响放大器。
2.        要求
(1)        放大器可以从mp3或信号源输入音频(100Hz~10kHz)信号,可以带600Ω负载或驱动8Ω喇叭(2~5W)。(20分)
(2)        当输入信号幅度在10mV~5V间变化时,放大器输出默认值保持在2V±0.2V内,波动越小越好。(30分)
(3)        能够显示输入信号幅度大小及频率高低。(10分)
(4)        能够在1V~3V范围内步进式调节放大器输出幅度,步距0.2V。(15分)
(5)        能够根据环境噪声调整自动调节放大器输出幅度。(15分)
(6)        其他自主发挥设计。(10分)
(7)        设计报告。(20分)
3.        说明
音响放大器的输出可以在600Ω电阻及喇叭间切换。

H题:自动增益控制放大器(高职高专)部分解决方案
1、“自动增益控制音响放大器”解决方案:
采用AGC(自动增益控制)模块(修改参数频带可扩展到100Hz~10kHz)
http://item.taobao.com/item.htm?id=25736652826
2、带600Ω负载或驱动8Ω喇叭,还必须加一级功放
采用音频功放模块(http://item.taobao.com/item.htm?id=35943468450
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-3-28 19:39

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表