搜索
bottom↓
回复: 15

FPGA 4LED流水灯程序解惑

[复制链接]

出0入0汤圆

发表于 2017-3-6 20:56:27 | 显示全部楼层 |阅读模式
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 2017/01/07 16:20:39
// Design Name:
// Module Name: led
// Project Name:
// Target Devices:
// Tool Versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
module led(
input sys_clk,
input rst,
output reg [3:0] led

);
reg [32:0] timer_cnt;
always@(posedge sys_clk)
if(rst)
led<=4'b1000;  //初始化定义一个亮着的LED灯
always@(posedge sys_clk)
begin
if (timer_cnt >= 32'd49_999_999)//开収板使用的晶振为 50MHz,1 秒计数
begin
if(led==4'b0001)//当滚到尽头,回到左侧起始端
led<=4'b1000;
else
led<={led[0],led[3:1]};//右移,用并置符实现
timer_cnt <= timer_cnt + 32'd1;//计数器加 1
end
end
endmodule

编译成功,但是我的4LED一直亮着,准确的说是前三个灯一直亮着,没有产生流水效果。刚学FPGA,希望有老哥可以解惑

阿莫论坛20周年了!感谢大家的支持与爱护!!

月入3000的是反美的。收入3万是亲美的。收入30万是移民美国的。收入300万是取得绿卡后回国,教唆那些3000来反美的!

出0入0汤圆

发表于 2017-3-6 21:35:03 | 显示全部楼层
你开发板是高电平复位?

出0入0汤圆

发表于 2017-3-6 21:36:02 | 显示全部楼层
时钟分下频

出0入0汤圆

发表于 2017-3-6 21:44:39 | 显示全部楼层
你这,,按理说应该编译不过呀,,,,在两个时钟敏感的always里给led赋值,,好吧,姑且算是综合器智能了。。认识他是同步复位。
但是timer_cnt没有开始计数过。
改成这样试试

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

发表于 2017-3-6 22:58:53 | 显示全部楼层
xlwxdl1 发表于 2017-3-6 21:44
你这,,按理说应该编译不过呀,,,,在两个时钟敏感的always里给led赋值,,好吧,姑且算是综合器智能了 ...

什么编辑器?配色挺舒服的

出0入0汤圆

发表于 2017-3-6 23:02:25 | 显示全部楼层
請確認你的rst訊號的準位

出0入0汤圆

发表于 2017-3-7 10:34:08 | 显示全部楼层
nonez 发表于 2017-3-6 22:58
什么编辑器?配色挺舒服的

vim   
字数补丁。。

出0入0汤圆

发表于 2017-3-7 17:17:50 | 显示全部楼层
xlwxdl1 发表于 2017-3-6 21:44
你这,,按理说应该编译不过呀,,,,在两个时钟敏感的always里给led赋值,,好吧,姑且算是综合器智能了 ...

这个应该是正确的。

出0入0汤圆

 楼主| 发表于 2017-3-7 21:12:07 | 显示全部楼层
xlwxdl1 发表于 2017-3-6 21:44
你这,,按理说应该编译不过呀,,,,在两个时钟敏感的always里给led赋值,,好吧,姑且算是综合器智能了 ...

十分感谢!

出0入0汤圆

发表于 2017-3-8 09:37:13 来自手机 | 显示全部楼层
timer_cnt是33位的,而且没有初始化数值

出0入0汤圆

发表于 2017-3-8 22:34:21 | 显示全部楼层
楼主没有把计时器大于1秒时清零。

出0入0汤圆

发表于 2017-3-8 22:34:48 | 显示全部楼层
module Top_module(Led_out,Rst,Clk);

output[3:0] Led_out = 4'b1000;
input Rst;
input Clk;
reg [3:0] Led_out;
reg[31:0] timer;

always@(posedge Clk)

        begin
       
                if(!Rst)
       
                        Led_out <= 4'b1000;
                       
                if(timer == 32'd50_000_000)
               
                        begin
                       
                                timer <= 32'd0;
                               
                                if(Led_out == 4'b0001)
                               
                                        Led_out<=4'b1000;
                                       
                                else
                               
                                        Led_out<={Led_out[0],Led_out[3:1]};
                        end
                       
                else
               
                        timer <= timer+1'b1;

        end
               
endmodule


这样楼主可以测试下。

出0入0汤圆

发表于 2017-3-9 18:15:09 | 显示全部楼层
应该是timer_cnt没有初值导致,楼主写个testbench做下仿真就知道了。没赋初值的话timer_cnt应该是32‘hxxxxxxxx, timer_cnt++后的值还是32’hxxxxxxxx,
不过实际运行中不给初值那这个x应该有个确定的电平的吧

出0入4汤圆

发表于 2017-3-10 11:41:06 | 显示全部楼层
LZ的代码有问题,而且不只一处。最大的问题是timer_cnt没有工作:按LZ的代码,计数器的执行++的条件是timer_cnt >= 32'd49_999_999,但由于没有初值,这个条件永远不能满足,所以计数器不会++的。哈哈。
另外计算器的位宽也有问题,还有LED这个寄存器在两个always里赋值,居然没有报错,莫非现在的综合器这么智能了?
还有其它问题,LZ慢慢体会,不一一说了。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入4汤圆

发表于 2017-3-10 11:43:47 | 显示全部楼层
图中把LZ的代码规整了一下,可以看到timer_cnt执行++的条件是:timer_cnt >= 32'd49_999_999。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

发表于 2017-4-13 10:35:47 | 显示全部楼层
可以把计数器和控制LED亮灭的程序弄到两个always块,这样更加清晰,调试更加方便
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-4-24 21:59

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表