搜索
bottom↓
回复: 3

请看一下这段问题verilong HDL语言应怎么改

[复制链接]

出390入22汤圆

发表于 2017-7-27 13:34:35 | 显示全部楼层 |阅读模式
/* 请看一下这段问题verilong HDL语言应怎么改
提示                    hhh  <=  0;
                            hhh  <= hhh   +   cin;
这两行object "hhh" on left-hand side of assignment must have a variable data type
刚开始学verilong HDL语言,还没开窍,请指导
附加问一下,计数器清零应怎么办?我的程序行吗?
*/
module CPLD(cs1,in,out,ck_out,load,hhh,cin);
    input in;
    output out,ck_out,cs1;                                                                                              assign out    =!in;
         output[9:0] hhh;  //
         input       load,cin;
         
         assign ck_out = in;
         assign cs1    = in;
             always @(posedge in)
                    begin
                           if(load)
                                    hhh  <=  0;
                           else
                                    hhh  <= hhh   +   cin;
                         end
endmodule

阿莫论坛20周年了!感谢大家的支持与爱护!!

月入3000的是反美的。收入3万是亲美的。收入30万是移民美国的。收入300万是取得绿卡后回国,教唆那些3000来反美的!

出0入90汤圆

发表于 2017-7-27 13:46:10 | 显示全部楼层
reg hhh      

出0入17汤圆

发表于 2017-7-27 13:50:56 | 显示全部楼层
楼上说的对,output[9:0] hhh;这句下面再加一句reg[9:0] hhh;

你这个计数器,累加到最大后,会清零的

出390入22汤圆

 楼主| 发表于 2017-7-27 16:12:38 | 显示全部楼层
本帖最后由 liudingding 于 2017-7-27 16:13 编辑

如果我要1000个脉冲清零,用if(hhh=1000)load=1,需要reg Ioad,我理解load成为寄存器型数据,我想把它设置为普通的门型数据,应怎样设置?
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-4-24 13:57

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表