|
异步复位程序
wire clk,rst_n;
my_clock Clock_U1(.e_clk(e_clk), .e_rst_n(e_rst_n), .clk(clk) , .rst_n(rst_n)); //PLL 生成稳定时钟,并输出异步复位同步释放信号
//wire [7:0] cnt;
reg [31:0] plus_r;
reg cmp3_r,cmp4_r;
assign cmp3 = cmp3_r;
assign cmp4 = cmp4_r;
always @(posedge clk or negedge rst_n)
begin
if(!rst_n)
begin
plus_r<=1'b0;
cmp4_r <= 0;
cmp3_r <= 0;
end
else
begin
if(plus_r==32'd240000000 )
begin
cmp4_r <= ~cmp4_r;
cmp3_r <= ~cmp3_r;
plus_r <= 1'b0;
end
else
plus_r <= plus_r+1'b1;
end
end
endmodule
占用资源
同步复位
wire clk,rst_n;
my_clock Clock_U1(.e_clk(e_clk), .e_rst_n(e_rst_n), .clk(clk) , .rst_n(rst_n)); //PLL 生成稳定时钟,并输出异步复位同步释放信号
//wire [7:0] cnt;
reg [31:0] plus_r;
reg cmp3_r,cmp4_r;
assign cmp3 = cmp3_r;
assign cmp4 = cmp4_r;
always @(posedge clk /*or negedge rst_n*/)
begin
if(!rst_n)
begin
plus_r<=1'b0;
cmp4_r <= 0;
cmp3_r <= 0;
end
else
begin
if(plus_r==32'd240000000 )
begin
cmp4_r <= ~cmp4_r;
cmp3_r <= ~cmp3_r;
plus_r <= 1'b0;
end
else
plus_r <= plus_r+1'b1;
end
end
endmodule
占用资源
|
本帖子中包含更多资源
您需要 登录 才可以下载或查看,没有帐号?注册
x
阿莫论坛20周年了!感谢大家的支持与爱护!!
如果想吃一顿饺子,就得从冰箱里取出肉,剁馅儿,倒面粉、揉面、醒面,擀成皮儿,下锅……
一整个繁琐流程,就是为了出锅时那一嘴滚烫流油的热饺子。
如果这个过程,禁不住饿,零食下肚了,饺子出锅时也就不香了……《非诚勿扰3》
|