搜索
bottom↓
回复: 16

请问FPGA的可配置寄存器的读写是怎么样的一个操作过程?

[复制链接]

出0入0汤圆

发表于 2020-6-17 14:33:55 | 显示全部楼层 |阅读模式
请问FPGA的可配置寄存器的读写是怎么样的一个操作过程?
哪位高手做过这个使用串口、SPI 等方式进行可配置寄存器读写的一个设计?
这个读写操作是一套标准的套路和通用的格式吗?

出0入442汤圆

发表于 2020-6-17 15:28:07 | 显示全部楼层
自己定义接口,自己定义实现,自己实现读写。

出0入12汤圆

发表于 2020-6-17 16:50:43 | 显示全部楼层
实现 UART,SPI slave 或者 I2C Slave IP,然后把寄存器的数值信号跟实际的功能对接。

出0入0汤圆

发表于 2020-6-17 18:13:13 | 显示全部楼层
本帖最后由 Eworm001 于 2020-6-17 18:14 编辑

我是这样实现的

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

 楼主| 发表于 2020-6-17 18:28:49 | 显示全部楼层
请问一下各位楼上的大佬!这个功能可以作为一个通用的接口吗?

而我可以知道 bit 文件里面 工程每个变量定义的地址与位宽!

出0入12汤圆

发表于 2020-6-17 20:24:27 | 显示全部楼层
没有啥通用不通用的,如果想做的非常通用,通常会做一个像四楼一样的 I2C to AHB master,然后外边挂非常多的寄存器都是以 AHB 接口挂在 AHB 总线上。

出0入42汤圆

发表于 2020-6-17 20:40:26 来自手机 | 显示全部楼层
使用ram,自己实现读写逻辑吧

出0入0汤圆

发表于 2020-6-17 21:35:27 | 显示全部楼层
可以参考通用SPI或串口接口液晶的接口思路

出0入17汤圆

发表于 2020-6-18 13:58:51 | 显示全部楼层
有很多开源控制、状态寄存器代码自动生成项目,基本思路这里有描述:
http://asics.chuckbenz.com/csrGenPaperSnugSJ03.pdf
http://asics.chuckbenz.com/#csrG ... erilog_RTL_code_for

其他开源项目:
https://opencores.org/projects/robust_reg
https://github.com/Juniper/open-register-design-tool
https://github.com/ydhsu0908/xls_gen_reg
接下来要实现的就是通过你自己的模块来读写这些寄存器了

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

 楼主| 发表于 2020-6-18 15:54:41 | 显示全部楼层
Nuker 发表于 2020-6-18 13:58
有很多开源控制、状态寄存器代码自动生成项目,基本思路这里有描述:
http://asics.chuckbenz.com/csrGenPa ...

好的!谢谢!
我看看!

出0入0汤圆

 楼主| 发表于 2020-6-18 16:34:10 | 显示全部楼层
我是使用labview开发FPGA的。

生成的程序自动就是附带这种寄存器列表和位宽的。

然后 剩下的 我就不知道具体该如何进行读写了。

里面貌似都是定义好了。然后就是一个接口的问题了。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

 楼主| 发表于 2020-6-18 16:37:11 | 显示全部楼层
说的我都想搞一个开源项目了。。。

只是中间这个 MCU+FPGA 这个环节 搞不通。。。

出0入0汤圆

 楼主| 发表于 2020-6-18 22:35:37 | 显示全部楼层
我在往上顶顶这个帖子吧!

使用labview 开发FPGA!

有感兴趣的吗?

出0入0汤圆

发表于 2020-7-5 23:17:07 | 显示全部楼层
用LABVIEW开发FPGA的不多
如果MCU带总线,那FPGA这边实现寄存器读写就很简单了

出0入0汤圆

发表于 2020-7-7 16:42:29 | 显示全部楼层
阿豪博士 发表于 2020-6-18 22:35
我在往上顶顶这个帖子吧!

使用labview 开发FPGA!

看起来很高级,  这个直接出烧写文件 还是中间文件要用厂家软件编译。

出0入0汤圆

 楼主| 发表于 2020-7-8 08:26:41 | 显示全部楼层
huangqi412 发表于 2020-7-7 16:42
看起来很高级,  这个直接出烧写文件 还是中间文件要用厂家软件编译。

应该是可以直接生成 bit 文件的!

出0入0汤圆

发表于 2020-7-8 21:59:46 | 显示全部楼层
没兴趣,fpga用labview这种,基本上没有性价比可言,也就是没什么商业价值,FPGA的价格不是MCU可比的。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-4-18 14:04

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表