搜索
bottom↓
回复: 8

Antminer S9矿机控制卡使用PS时钟作为PL外部时钟

[复制链接]

出60入0汤圆

发表于 2020-7-18 09:37:31 | 显示全部楼层 |阅读模式
首先说明本人FPGA小白,LINUX搞过很长时间,这个问题完全是对ZYNQ不熟悉造成的。

如题,自己想入门FPGA,先要学着练习编写FPGA计数器例程,ZYNQ7010加管脚约束时发现PL没有外部时钟驱动。本打算去淘宝上找50Mhz有源晶振,可看着板上那么小的焊点,想想自己焊工手艺,就打算放弃。
又想到板子花了60多块,前面又花了几天搞PS部分,就这么一个时钟问题,必须坚持,不如再买块板,加点钱让卖家帮忙焊上晶振
本打算白天找卖家,不甘心网上搜索一下,还真找到有人给出办法了,引入PS时钟作为PL时钟
具体链接如下
https://numato.com/kb/styx-use-x ... clocks-fpga-fabric/

主要思路是用FCLK_CLK0作为PL时钟
希望能够给类似小白一点帮助

阿莫论坛20周年了!感谢大家的支持与爱护!!

月入3000的是反美的。收入3万是亲美的。收入30万是移民美国的。收入300万是取得绿卡后回国,教唆那些3000来反美的!

出0入0汤圆

发表于 2020-7-18 11:22:39 | 显示全部楼层
这是zynq最基本的操作,没事还是先了解下体系架构吧

出0入0汤圆

发表于 2020-7-18 14:20:09 | 显示全部楼层
应该不是找我买的吧,哈哈,
我卖出的板都提供例程,
可以使用PS的时钟作为PL的时钟.

出0入0汤圆

发表于 2020-7-18 16:25:29 来自手机 | 显示全部楼层
本帖最后由 Mecono 于 2020-7-18 16:26 编辑

额 直接再配置里面 把fclk够上就能获得时钟.建议楼主看看黑金 或者 米尔的zynq的教程.写的后还不错.
我之前的帖子里面应该是有上传过简单教程的

出60入0汤圆

 楼主| 发表于 2020-7-18 16:33:40 | 显示全部楼层
是哈,还是自己没有认真思考ZYNQ例程里面FCLK_CLK作用。以为这个时钟只是给AXI接口使用,看到的所以例程都是这么接的,没有想过还能单独给自定义模块做时钟。

出60入0汤圆

 楼主| 发表于 2020-7-18 16:35:24 | 显示全部楼层
advantech 发表于 2020-7-18 14:20
应该不是找我买的吧,哈哈,
我卖出的板都提供例程,
可以使用PS的时钟作为PL的时钟. ...

不知道哈,卖家是武汉的

出0入0汤圆

发表于 2020-7-18 18:16:20 | 显示全部楼层
fchen2 发表于 2020-7-18 16:35
不知道哈,卖家是武汉的

可能是我这里的,我也在武汉.

出0入0汤圆

发表于 2020-7-18 18:17:28 | 显示全部楼层
本帖最后由 advantech 于 2020-7-18 18:22 编辑
fchen2 发表于 2020-7-18 16:35
不知道哈,卖家是武汉的


你进到我签名里的店铺,里面可以联系到我.
就算板子不是从我们这里购买,我也把例程发给你哦.

出60入0汤圆

 楼主| 发表于 2020-7-18 19:32:59 | 显示全部楼层
advantech 发表于 2020-7-18 18:17
你进到我签名里的店铺,里面可以联系到我.
就算板子不是从我们这里购买,我也把例程发给你哦. ...

多谢,下周到公司跟您联系
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-4-25 13:32

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表