搜索
bottom↓
回复: 0

【正点原子FPGA连载】第三十四章基于lwip的TCP服务器性能测试实验--摘自【正点原子】领航者 ZYNQ 之嵌入式开发指南

[复制链接]

出0入234汤圆

发表于 2020-8-18 11:48:18 | 显示全部楼层 |阅读模式
本帖最后由 正点原子 于 2020-10-24 11:00 编辑

1)实验平台:正点原子领航者ZYNQ开发板
2)平台购买地址:https://item.taobao.com/item.htm?&id=606160108761
3)全套实验源码+手册+视频下载地址:http://www.openedv.com/docs/boards/fpga/zdyz_linhanz.html
4)对正点原子FPGA感兴趣的同学可以加群讨论:876744900
QQ群头像.png
5)关注正点原子公众号,获取最新资料


100846rel79a9p4uelap24.jpg

100846f1ce1fg14zbg0va4.png


第三十四章基于lwip的TCP服务器性能测试实验



上一章的lwip Echo Server实验让我们对lwip有一个基本的了解,而Echo Server是基于TCP协议的。TCP协议是为了在不可靠的互联网络上提供可靠的端到端字节流而专门设计的一个传输协议。本章我们将了解lwip tcp协议的使用并测试lwip tcp服务的性能。本章分为以下几个部分:
293434.1简介
34.2实验任务
34.3硬件设计
34.4软件设计
34.5下载验证



34.1简介
1)TCP 协议简介
TCP(Transmission Control Protocol,传输控制协议)是一种面向连接的、可靠的、基于字节流的传输层通信协议。在开放系统互连OSI参考模型中,它完成第四层传输层所指定的功能,UDP(User Datagram Protocol,用户数据报协议)是同一层内另一个重要的传输协议。在因特网协议族(Internet protocol suite)中,TCP层是位于IP层之上,应用层之下的中间层。不同主机的应用层之间经常需要可靠的、像管道一样的连接,但是IP层不提供这样的流机制,而是提供不可靠的包交换。
应用层向TCP层发送用于网间传输的、用8位字节表示的数据流,然后TCP把数据流分区成适当长度的报文段(通常受该计算机连接的网络的数据链路层的最大传输单元(MTU)的限制)。之后TCP把报文段传给IP 层,由它来通过网络将报文段传送给接收端的TCP层。TCP为了保证报文传输的可靠,就给每个包一个序号,同时序号也保证了传送到接收端的数据包能被按序接收。然后接收端对已成功收到的字节发回一个相应的确认(ACK);如果发送端在 合理的往返时延(RTT)内未收到确认,那么对应的数据(假设丢失了)将会被重传。
在数据正确性与合法性上,TCP用一个校验和函数来检验数据是否有错误,在发送和接收时都要计算校验和。在保证可靠性上,采用超时重传和捎带确认机制。在流量控制上,采用滑动窗口协议,协议中规定,对于窗口内未经确认的分组需要重传。在拥塞控制上,采用TCP拥塞控制算法。
作为与TCP协议处于同一层的UDP协议,我们简单的介绍下两者的区别:
1、TCP协议面向连接,是流传输协议,通过连接发送数据,而UDP协议传输不需要连接,是数据报协议;
2.TCP为可靠传输协议,而UDP为不可靠传输协议。即TCP协议可以保证数据的完整和有序,而UDP不能保证;
3.UDP由于不需要连接,故传输速度比TCP快,且占用资源比TCP少;
4.应用场合:TCP协议常用在对数据文件完整性较高的一些场景中,如文件传输等。UDP常用于对通讯速度有较高要求或者传输数据较少时,比如对速度要求较高的视频直播和传输数据较少的QQ等。
2)TCP首部  
图 34.1.1体现了以太网协议体系分层设计的思想。发送端在应用层将需要传输的数据传给传输层,传输层对应用层的数据进行处理、封装,如果使用TCP协议,则对数据处理后加上TCP标识头进行封装,如果使用UDP协议,则添加UDP标识头进行封装,封装后的数据传递给网络层。网络层对传输层下发的数据处理后添加IP标识头进而传递给数据链路层。数据链路层将网络层下发的数据打包成以太网数据包递交给物理层传输。接收端接收到以太网数据包后进行反向操作从而得到发送端应用层发送的数据。
阿莫论坛发帖领航者专用11425.png

图 34.1.2 以太网包数据格式

下图是TCP报文段标识头,在没有选项的情况下,它通常是20个字节。
阿莫论坛发帖领航者专用11522.png

图 34.1.3 TCP报文段标识头

源端口号和目的端口号用于寻找发送端和接收端的应用进程,这个和UDP报文相同,这两个值加上IP首部中的源IP地址和目的IP地址唯一确定一个TCP连接。
序列号字段用来标识从TCP发送端向TCP接收端发送的数据字节流,它表示在这个报文段中的第一个数据字节。当建立一个新的连接时,握手报文中的SYN标志置1,这个握手报文中的序号字段为随机选择的初始序号ISN(Initial Sequence Number),当连接建立好以后发送方要发送的第一个字节序号为ISN+1。
确认号字段只有在ACK为1的时候才有用,确认号中包含发送确认的一方所期望收到的下一个序号,确认号是在上一次成功接收到的数据字节序列号上加一,例如上次接收成功接收到对方发过来的数据序号为X,那么返回的确认号就应该为X+1。
头部长度也叫首部长度,首部长度中给出了首部的长度,以32bit也就是4字节为单位,这个字段有4bit,因此TCP最多有60字节的首部,如果没有任何的选项字段,正常的首部长度是20字节。
TCP首部中还有6个标志比特,这6个标志位的说明如下表所示。
表 34.1.1 TCP 首部标志位说明

3411.png

窗口尺寸也就窗口大小,其中填写相应的值以通知对方自己期望接收的字节数,窗口大小字段是TCP流量控制的关键字段,窗口大小是一个16bit的字段,因此窗口大小最大为65535字节。
16位的校验和覆盖了整个TCP报文段:TCP首部和TCP数据。校验和首先在数据发送方通过特殊的算法计算得出,在传递到接收方之后,还需要再重新计算。如果某个数据报在传输过程中被第三方篡改或者由于线路噪音等原因受到损坏,发送和接收方的校验计算和将不会相符,由此UDP协议可以检测是否出错。
紧急指针只有在URG置1时有效,紧急指针是一个正的偏移量,和序号字段中的值相加表示紧急数据最后一个字节的序号。
3)LWIP中RAW API编程接口中与TCP相关的函数
LWIP提供了很多关于 TCP 的 RAW API 编程函数,我们可以使用这些函数来完成有关TCP的实验,我们在表 34.1.2列出了一部分函数。
3412.png

34.2实验任务
本章的实验任务是使用SDK软件自带的Lwip TCP perf Server模块了解TCP服务器的性能。
34.3硬件设计
本章的硬件设计与前一章《基于lwip的echo server实验》相同,此处不再赘述。将《基于lwip的echo server实验》的Vivado工程另存为“lwip_tcp_server_perf”,打开SDK,进入软件设计。
34.4软件设计
首先删除前一实验的工程。
在菜单栏中选择“File->New->Application Project”, 新建一个SDK应用工程。
在弹出的下图所示界面中,输入工程名“lwip_tcp_server_perf”,其它选项保持默认,点击“Next”。
    阿莫论坛发帖领航者专用13873.png

图 34.4.1 配置工程

选择工程模版“lwIP TCP Perf Server”,然后点击“Finish”按钮,如图 34.4.2所示。
LwIP TCP Perf Server应用程序用于创建TCP服务器并使用轻量级IP堆栈(lwIP)测试下行链路性能。该应用程序将领航者开发板MAC地址设置为00:0a:35:00:01:02,默认使用DHCP获取动态IP地址,如果DHCP失败,则使用默认设置的静态IPv4地址192.168.1.10。应用程序在领航者开发板上创建TCP服务器并侦听TCP客户端的连接,一旦远程客户端与此服务器连接,TCP服务器将开始从客户端接收数据。客户端连接的详细信息和数据传输统计信息将由服务器在串行控制台上显示。
阿莫论坛发帖领航者专用14269.png

图 34.4.2 选择“lwip TCP Perf Server”模版

展开lwip_TCP_server应用工程目录下的src目录,可以看到很多平台相关的文件(主要是platform开头的文件)。为了方便分析,我们将src文件夹与本实验不相关的平台文件删除,删除后的src文件夹内容如下图所示:
   阿莫论坛发帖领航者专用14464.png

图 34.4.3 删除后的src文件夹内容

该实验的main.c文件与《lwip echo server》实验的main.c基本相同,无实质性变化,由于在《lwip echo server》实验已讲解了main.c的内容,此处我们就不赘述了。本章我们重点关注的是lwip raw接口下tcp的使用,创建tcp服务器和测试性能主要在tcp_perf_server.c文件中实现。在讲解tcp_perf_server.c文件之前我们先来看一下当使用lwip作为TCP服务器时,会话的建立过程
由于raw TCP实现主要通过回调执行,因此其操作往往与各个消息的接收和处理密切相关。因此,熟悉底层TCP协议是有帮助的。对于没有lwIP使用经验的人来说,有时并不清楚什么时候需要调用什么。下表显示了远程客户端和本地lwIP tcp服务器之间交互的顺序图。
表 34.4.1 lwIP会话建立(远程客户端/本地lwIP服务器)
3441.png

现在对照上表我们来分析tcp_perf_server.c文件的代码。
首先我们来看start_application函数,该函数在main函数中调用,后面的函数基本上都是通过该函数回调使用。start_application函数代码如下:
  1. 196 void start_application(void)
  2. 197 {
  3. 198     err_t err;
  4. 199     struct tcp_pcb *pcb, *lpcb;
  5. 200
  6. 201     //创建服务器PCB
  7. 202     pcb = tcp_new_ip_type(IPADDR_TYPE_ANY);
  8. 203     if (!pcb) {
  9. 204         xil_printf("TCP server: Error creating PCB. Out of Memory\r\n");
  10. 205         return;
  11. 206     }
  12. 207     //绑定端口号
  13. 208     err = tcp_bind(pcb, IP_ADDR_ANY, TCP_CONN_PORT);
  14. 209     if (err != ERR_OK) {
  15. 210         xil_printf("TCP server: Unable to bind to port %d: "
  16. 211                 "err = %d\r\n" , TCP_CONN_PORT, err);
  17. 212         tcp_close(pcb);
  18. 213         return;
  19. 214     }
  20. 215
  21. 216     //将连接队列限制设置为1,以便一次为一个客户端提供服务
  22. 217     lpcb = tcp_listen_with_backlog(pcb, 1);
  23. 218     if (!lpcb) {
  24. 219         xil_printf("TCP server: Out of memory while tcp_listen\r\n");
  25. 220         tcp_close(pcb);
  26. 221         return;
  27. 222     }
  28. 223
  29. 224     tcp_arg(lpcb, NULL);                //此处不需要回调函数的任何参数
  30. 225     tcp_accept(lpcb, tcp_server_accept);//指定用于传入连接的回调
  31. 226
  32. 227     return;
  33. 228 }
复制代码

可以看到函数的调用与表 34.4.1中的“lwIP服务器操作”列的函数顺序一致。其中tcp_new_ip_type函数与我们在表 34.1.2中列的tcp_new函数有点区别,tcp_new_ip_type函数不仅具有tcp_new函数的功能,而且可以指定侦听的IP地址的类型,是用IPv4(IPADDR_TYPE_V4)、IPv6(IPADDR_TYPE_V6)还是两者都可以(IPADDR_TYPE_ANY),IPADDR_TYPE_ANY只有在lwip开启了IPv6功能才可以使用,本实验因为我们没有使能IPv6功能,所以tcp_new_ip_type函数的IPADDR_TYPE_ANY不起作用,此处调用该函数主要是考虑兼容性,如果只用IPv4,可以调用tcp_new函数。
tcp_bind函数用于绑定本地端口号和IP地址,IP_ADDR_ANY表示任意本地地址,TCP_CONN_PORT在tcp_perf_server.h头文件中宏定义为5001,该端口是我们后面使用的iperf工具的默认连接端口。
tcp_listen_with_backlog函数与tcp_listen函数的区别如下:
阿莫论坛发帖领航者专用17411.png

其中TCP_DEFAULT_LISTEN_BACKLOG为8位二进制的最大值0xff。由于本实验实现的功能是测试TCP的性能,所以此处将连接队列限制设置为1,以便一次为一个客户端提供服务。
tcp_accept函数用于设置接受(accept)回调函数tcp_server_accept。
从表 34.4.1可以看到,执行start_application函数后,客户端就可以发起连接,然后底层堆栈与客户端进行三次握手,握手成功后,调用接受回调,也就是调用tcp_server_accept函数,该函数代码如下:
  1. 165 //用于服务器accept的回调函数
  2. 166 static err_t tcp_server_accept(void *arg, struct tcp_pcb *newpcb, err_t err)
  3. 167 {
  4. 168     if ((err != ERR_OK) || (newpcb == NULL)) {
  5. 169         return ERR_VAL;
  6. 170     }
  7. 171     c_pcb = newpcb;             //保存连接的客户端PCB
  8. 172
  9. 173     //保存最终报告的开始时间
  10. 174     server.start_time = get_time_ms();
  11. 175     server.end_time = 0;        //ms
  12. 176     //更新连接的客户端ID
  13. 177     server.client_id++;
  14. 178     server.total_bytes = 0;
  15. 179
  16. 180     //初始化临时报告参数
  17. 181     server.i_report.report_interval_time = INTERIM_REPORT_INTERVAL * 1000;  //s
  18. 182     server.i_report.last_report_time = 0;
  19. 183     server.i_report.start_time = 0;
  20. 184     server.i_report.total_bytes = 0;
  21. 185
  22. 186     print_tcp_conn_stats();     //打印连接状态信息
  23. 187
  24. 188     //设置tcp rx连接的回调
  25. 189     tcp_arg(c_pcb, NULL);
  26. 190     tcp_recv(c_pcb, tcp_recv_perf_traffic);
  27. 191     tcp_err(c_pcb, tcp_server_err);
  28. 192
  29. 193     return ERR_OK;
  30. 194 }
复制代码

该函数的主要作用是设置recv接收回调和错误中止回调。代码第174-184行用于初始化测试TCP性能的相关数据,INTERIM_REPORT_INTERVAL参数在tcp_perf_server.h中宏定义如下:
/* seconds between periodic bandwidth reports */
#define INTERIM_REPORT_INTERVAL 5
该值代表每隔多长时间打印一次报告,也就是临时报告,此处的5代表5秒,当然也可以修改成其它值。
从表 34.4.1可以看到,设置好tcp_server_accept的最后三个函数后,连接就正式建立了,这时候就可以互通有无了。此时如果客户端发起数据传输,lwip堆栈调用recv回调,也就是tcp_recv(c_pcb, tcp_recv_perf_traffic)函数中的tcp_recv_perf_traffic函数,该函数代码如下:
  1. 128 //用于服务器recv的回调函数
  2. 129 static err_t tcp_recv_perf_traffic(void *arg, struct tcp_pcb *tpcb,
  3. 130         struct pbuf *p, err_t err)
  4. 131 {
  5. 132     if (p == NULL) {
  6. 133         u64_t now = get_time_ms();
  7. 134         u64_t diff_ms = now - server.start_time;
  8. 135         tcp_server_close(tpcb);
  9. 136         tcp_conn_report(diff_ms, TCP_DONE_SERVER);
  10. 137         xil_printf("TCP test passed Successfully\n\r");
  11. 138         return ERR_OK;
  12. 139     }
  13. 140
  14. 141     server.total_bytes += p->tot_len;               //记录最终报告的总字节数
  15. 142
  16. 143     if (server.i_report.report_interval_time) {
  17. 144         u64_t now = get_time_ms();
  18. 145         server.i_report.total_bytes += p->tot_len;  //记录临时报告的总字节数
  19. 146         if (server.i_report.start_time) {
  20. 147             u64_t diff_ms = now - server.i_report.start_time;
  21. 148             if (diff_ms >= server.i_report.report_interval_time) {
  22. 149                 tcp_conn_report(diff_ms, INTER_REPORT);
  23. 150                 //重置临时报告计数器
  24. 151                 server.i_report.start_time = 0;
  25. 152                 server.i_report.total_bytes = 0;
  26. 153             }
  27. 154         } else {
  28. 155             server.i_report.start_time = now;   //保存临时报告的开始时间
  29. 156         }
  30. 157     }
  31. 158
  32. 159     tcp_recved(tpcb, p->tot_len);
  33. 160
  34. 161     pbuf_free(p);
  35. 162     return ERR_OK;
  36. 163 }
复制代码

由于本实验的功能是使用lwip测试TCP服务器的链路性能,测试的方法是接收客户端发来的数据流,对该数据流以每隔INTERIM_REPORT_INTERVAL时间统计一次客户端在该时间段内发送的数据总字节数,从而统计该段时间内的平均带宽,并打印该段时间内的临时报告。除此之外,还统计整个测试时间内的总字节数,从而统计整个测试时间内的平均带宽,并打印最终的测试报告。所以该函数的主要作用就是对接收到的数据进行处理。代码第149行调用的tcp_conn_report函数内容实现如下:
  1. 67  //TCP服务器会话的report函数
  2. 68  static void tcp_conn_report(u64_t diff,
  3. 69          enum report_type report_type)
  4. 70  {
  5. 71      u64_t total_len;
  6. 72      double duration, bandwidth = 0;
  7. 73      char data[16], perf[16], time[64];
  8. 74  
  9. 75      if (report_type == INTER_REPORT) {
  10. 76          total_len = server.i_report.total_bytes;
  11. 77      } else {
  12. 78          server.i_report.last_report_time = 0;
  13. 79          total_len = server.total_bytes;
  14. 80      }
  15. 81  
  16. 82      //将持续时间从毫秒转换为秒,带宽转换为比特/秒
  17. 83      duration = diff / 1000.0;   //secs
  18. 84      if (duration)
  19. 85          bandwidth = (total_len / duration) * 8.0;
  20. 86  
  21. 87      stats_buffer(data, total_len, BYTES);
  22. 88      stats_buffer(perf, bandwidth, SPEED);
  23. 89      //在32位平台上,xil_printf无法打印u64_t值,因此在字符串中转换这些值并显示结果
  24. 90      sprintf(time, "%4.1f-%4.1f sec",
  25. 91              (double)server.i_report.last_report_time,
  26. 92              (double)(server.i_report.last_report_time + duration));
  27. 93      xil_printf("[%3d] %s  %sBytes  %sbits/sec\n\r", server.client_id,
  28. 94              time, data, perf);
  29. 95  
  30. 96      if (report_type == INTER_REPORT)
  31. 97          server.i_report.last_report_time += duration;
  32. 98  }
复制代码

该函数的主要作用是打印测试报告信息。代码第87行调用的stats_buffer函数的主要作用是进行数据换算,包括单位换算,如将bit数换算成合适的单位,如Mbit,其实现如下:
  1. 42  static void stats_buffer(char* outString, double data, enum measure_t type)
  2. 43  {
  3. 44      int conv = KCONV_UNIT;
  4. 45      const char *format;
  5. 46      double unit = 1024.0;
  6. 47  
  7. 48      if (type == SPEED)
  8. 49          unit = 1000.0;
  9. 50  
  10. 51      while (data >= unit && conv <= KCONV_GIGA) {
  11. 52          data /= unit;
  12. 53          conv++;
  13. 54      }
  14. 55  
  15. 56      if (data < 9.995) {         // 9.995四舍五入到10.0
  16. 57          format = "%4.2f %c";    // #.##
  17. 58      } else if (data < 99.95) {  // 99.95四舍五入到100
  18. 59          format = "%4.1f %c";    // ##.#
  19. 60      } else {
  20. 61          format = "%4.0f %c";    // ####
  21. 62      }
  22. 63      sprintf(outString, format, data, kLabel[conv]);
  23. 64  }
复制代码

注意代码第46-49行的unit数值的差异,当测试速度时,单位是1000,而不是统一的用1024,这在下载验证时会体现出来。
从表 34.4.1可以看到,调用接收回调后,如果客户端需要请求数据的话就需要调用tcp_write()函数响应数据请求。本实验因客户端无数据请求,因此无需调用tcp_write()函数。tcp_recved()函数在接收回调函数tcp_recv_perf_traffic中实现,见代码第159行。
如果客户端结束连接,就根据代码第191行的tcp_err(c_pcb, tcp_server_err)函数; 调用错误/中止回调函数tcp_server_err,结束连接。tcp_server_err函数及其相关函数实现如下:
  1. 100 //TCP服务器关闭会话
  2. 101 static void tcp_server_close(struct tcp_pcb *pcb)
  3. 102 {
  4. 103     err_t err;
  5. 104
  6. 105     if (pcb != NULL) {
  7. 106         tcp_recv(pcb, NULL);
  8. 107         tcp_err(pcb, NULL);
  9. 108         err = tcp_close(pcb);
  10. 109         if (err != ERR_OK) {
  11. 110             tcp_abort(pcb);
  12. 111         }
  13. 112     }
  14. 113 }
  15. 114
  16. 115 //错误回调,tcp会话中止
  17. 116 static void tcp_server_err(void *arg, err_t err)
  18. 117 {
  19. 118     LWIP_UNUSED_ARG(err);
  20. 119     u64_t now = get_time_ms();
  21. 120     u64_t diff_ms = now - server.start_time;
  22. 121     tcp_server_close(c_pcb);
  23. 122     c_pcb = NULL;
  24. 123     tcp_conn_report(diff_ms, TCP_ABORTED_REMOTE);
  25. 124     xil_printf("TCP connection aborted\n\r");
  26. 125 }
复制代码

可以看到tcp_server_err函数对接收到的客户端的数据做最后的处理,并调用tcp_server_close函数关闭会话。
至此,tcp_perf_server.c文件的主要代码内容就讲完了,接下来进行下载验证,看一下tcp服务器的链路性能。
34.5下载验证
首先我们将下载器与领航者底板上的JTAG接口连接,下载器另外一端与电脑连接。然后使用Mini USB连接线将USB UART接口与电脑连接,用于串口通信。使用网线一端连接领航者开发板的以太网接口,另一端与电脑或路由器连接。最后连接开发板的电源,并打开电源开关。
在SDK软件下方的SDK Terminal窗口中点击右上角的加号设置并连接串口。然后下载本次实验的elf文件。下载完成后,可以看到串口打印的结果。
阿莫论坛发帖领航者专用113887.png

图 34.5.1 显示打印结果

从串口打印的倒数第二行可以看到,TCP服务器侦听的端口号为5001。最后一行是本实验的关键命令,用于测试TCP链路性能。此时我们需要一个测试的工具iperf。iperf是一种用于主动测量IP网络上可达到的最大带宽的工具。它支持调整与时序、协议和缓冲区相关的各种参数。对于每个测试,它报告测量的吞吐量/比特率、损耗和其它参数。利用 Iperf这一特性,可以用来测试一些网络设备如路由器、防火墙、交换机等的性能。
由于iperf是第三方工具,需要我们手动安装,可以在开发板随附的资料“6_软件资料/1_软件”中找到,名为iperf.exe即是,直接将iperf.exe复制到C:\Windows目录即可。
iperf常用参数列在下表:
0000.png

我们打开电脑的CMD(按win+r键后输入cmd),输入“iperf -c 192.168.1.10 -i 5 -t 30 -w 2M”,如下图所示:
阿莫论坛发帖领航者专用114479.png

图 34.5.2 进行iperf测试

该命令指示iperf以客户端模式启动,连接到服务器192.168.1.10,指定TCP窗口大小为2Mbyte(参数-w 2M), 测试30秒(-t 30),因为300秒太长,不方便截图,所以改为30秒,每隔5秒打印一次输出(-i 5)。
回车后,打印连接信息,并启动测试,如下图所示:
阿莫论坛发帖领航者专用114687.png

图 34.5.3  iperf测试结果

可以看到,打印出来的测试信息分为四列,分别是ID、Interval、Transfer和Bandwidth。ID用于标识测试的连接,Interval是测试时间段,由于每隔5秒打印一次输出(-i 5),所以Transfer表示该时间段内传输的数据总量,Bandwidth为该时间段内的平均带宽。
由于只测试了30秒,可以看到该段时间内带宽稳定在946Mbits/sec,对于千兆网来说,是一个合理值。另外SDK的串口终端也打印出了信息,如下图所示:
阿莫论坛发帖领航者专用114976.png

图 34.5.4 SDK打印iperf测试结果

阿莫论坛20周年了!感谢大家的支持与爱护!!

月入3000的是反美的。收入3万是亲美的。收入30万是移民美国的。收入300万是取得绿卡后回国,教唆那些3000来反美的!
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-4-23 22:50

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表