搜索
bottom↓
回复: 0

《新起点V2之FPGA开发指南》第三十六章 双路高速DA实验

[复制链接]

出0入234汤圆

发表于 2021-10-13 17:25:02 | 显示全部楼层 |阅读模式
本帖最后由 正点原子 于 2021-10-30 10:43 编辑

1)实验平台:正点原子新起点V2FPGA开发板
2)  章节摘自【正点原子】《新起点之FPGA开发指南 V2.1》
3)购买链接:https://detail.tmall.com/item.htm?id=609758951113
4)全套实验源码+手册+视频下载地址:http://www.openedv.com/thread-328002-1-1.html
5)正点原子官方B站:https://space.bilibili.com/394620890
6)正点原子FPGA技术交流QQ群:712557122
1.png


2.jpg


3.png


第三十六章 双路高速DA实验

       DAC(Digital to Analog Converter,即数模转换器)是大多数系统中必不可少的组成部件,用于将离散的数字信号转换成连续的模拟信号,它们是连接模电电路和数字电路必不可少的桥梁。在很多场合下, DAC的转换速度甚至直接决定了整个系统的运行速度。本章我们将使用高速DA芯片实现数模转换,产生正弦波模拟电压信号。
       本章包括以下几个部分:
       1.1简介
       1.2实验任务
       1.3硬件设计
       1.4程序设计
       1.5下载验证

1.1简介
       本章我们使用的双路DA模块是正点原子推出的一款双路高速数模转换模块(ATK_DUAL_HS_DA),高速DA转换芯片是由思瑞浦公司生产的3PD5651E芯片。
       ATK_HS_AD_DA模块的硬件结构图如下图所示。
第三十六章 双路高速DA实验329.png

图 36.1.1 ATK_DUAL_HS_DA模块硬件结构图

       由上可知,3PD5651E芯片输出的是一对差分电流信号,为了防止受到噪声干扰,电路中接入了低通滤波器,然后通过高性能和高带宽的运放电路,实现差分变单端以及幅度调节等功能,使整个电路性能得到了最大限度的提升,最终输出的模拟电压范围是-5V~+5V。
       下面来介绍下这款芯片。
        3PD5651E是3PEAK公司(思瑞浦微电子科技股份有限公司)生产的DAC系列数模转换器,具有高性能、低功耗的特点。3PD5651E的数模转换位数为10位,最大转换速度为125MSPS(每秒采样百万次,Million Samples per Second)。
        3PD5651E的内部功能框图如下图所示:
第三十六章 双路高速DA实验694.png

图 36.1.2 内部功能框图

       3PD5651E在时钟(CLOCK)的驱动下工作,内部集成了+1.1V参考电压(+1.10V REF)、运算放大器、电流源(CURRENT SOURCE ARRAY)和锁存器(LATCHES)。两个电流输出端IOUTA和IOUTB为一对差分电流,当输入数据为0(DB9~DB0=10’h000)时,IOUTA的输出电流为0,而IOUTB的输出电流达到最大,最大值的大小跟参考电压有关;当输入数据全为高点平(DB9~DB0=10’h3ff)时,IOUTA的输出电流达到最大,最大值的大小跟参考电压有关,而IOUTB的输出电流为0。
       3PD5651E必须在时钟的驱动下才能把数据写入片内的锁存器中,其触发方式为上升沿触发,3PD5651E的时序图如下图所示:
1.png

图 36.1.3 芯片时序图

2.png

图 36.1.4 FPGA内部时序

        如图 36.1.3中的DBO-DB9和CLOCK是3PD5651E的10位输入数据和为输入时钟,IOUTA和IOUTB为3PD5651E输出的电流信号。由图 36.1.3可知,数据在时钟的上升沿锁存,因此我们可以在时钟的下降沿发送数据,这样使DA芯片在数据的中央采样,保证数据采样的准确性,如图 36.1.4所示。需要注意的是,CLOCK的时钟频率越快,3PD5651E的数模转换速度越快,3PD5651E的时钟频率最快为125Mhz。
        IOUTA和IOUTB为3PD5651E输出的一对差分电流信号,通过外部电路低通滤波器与运放电路输出模拟电压信号,电压范围是-5V至+5V之间。当输入数据等于0时,3PD5651E输出的电压值为5V;当输入数据等于10’h3ff时,3PD5651E输出的电压值为-5V。
        3PD5651E是一款数字信号转模拟信号的器件,内部没有集成DDS(Direct Digital Synthesizer,直接数字式频率合成器)的功能,但是可以通过控制3PD5651E的输入数据,使其模拟DDS的功能。例如,我们使用3PD5651E输出一个正弦波模拟电压信号,那么我们只需要将3PD5651E的输入数据按照正弦波的波形变化即可,下图为3PD5651E的输入数据和输出电压值按照正弦波变化的波形图。
3.png

图 36.1.5 3PD5651E正弦波数据(左)、电压值(右)

       由上图可知,数据在0至1023之间按照正弦波的波形变化,最终得到的电压也会按照正弦波波形变化,当输入数据重复按照正弦波的波形数据变化时,那么3PD5651E就可以持续不断的输出正弦波的模拟电压波形。需要注意的是,最终得到的3PD5651E的输出电压变化范围由其外部电路决定的,当输入数据为0时,3PD5651E输出+5V的电压;当输入数据为1023时,3PD5651E输出-5V的电压。
       由此可以看出,只要输入的数据控制的得当,3PD5651E可以输出任意波形的模拟电压信号,包括正弦波、方波、锯齿波、三角波等波形。
1.2实验任务
       本节实验任务是使用新起点开发板及双路高速DA扩展模块(ATK_DUAL_HS_DA模块)实现数模转换。首先利用FPGA产生正弦波变化的数字信号,经过DA芯片后转换成模拟信号,然后通过示波器观察模拟信号的波形是否按照正弦波波形变化。
1.3硬件设计
       ATK_DUAL_HS_DA模块由2个型号为3PD5651E 的DA转换芯片组成。3PD5651E的原理图如下图所示。
第三十六章 双路高速DA实验2411.png

图 36.3.1 芯片原理图

       由上图可知,3PD5651E输出的一对差分电流信号先经过滤波器,再经过运放电路得到一个单端的模拟电压信号。图中右侧的RP1为滑动变阻器,可以调节输出的电压范围,推荐通过调节滑动变阻器,使输出的电压范围在-5V至+5V之间,从而达到DA转换芯片的最大转换范围。
       ATK_DUAL_HS_DA模块的实物图如下图所示。
第三十六章 双路高速DA实验2628.png

图 36.3.2 ATK_DUAL_HS_DA模块实物图

       本实验中,各端口信号的管脚分配如下表所示。
4.png

表格 36.3.1 双路高速DA转换实验管脚分配

         对应的TCL约束语句如下所示:
  1. set_location_assignment PIN_M1 -to sys_rst_n
  2. set_location_assignment PIN_M2 -to sys_clk
  3. set_location_assignment PIN_P9 -to da_clk
  4. set_location_assignment PIN_N6 -to da_data[0]
  5. set_location_assignment PIN_R14 -to da_data[1]
  6. set_location_assignment PIN_M8 -to da_data[2]
  7. set_location_assignment PIN_P6 -to da_data[3]
  8. set_location_assignment PIN_P8 -to da_data[4]
  9. set_location_assignment PIN_N8 -to da_data[5]
  10. set_location_assignment PIN_L9 -to da_data[6]
  11. set_location_assignment PIN_K9 -to da_data[7]
  12. set_location_assignment PIN_M9 -to da_data[8]
  13. set_location_assignment PIN_L10 -to da_data[9]
  14. set_location_assignment PIN_T13 -to da_clk1
  15. set_location_assignment PIN_T8 -to da_data1[0]
  16. set_location_assignment PIN_R7 -to da_data1[1]
  17. set_location_assignment PIN_T9 -to da_data1[2]
  18. set_location_assignment PIN_R8 -to da_data1[3]
  19. set_location_assignment PIN_T10 -to da_data1[4]
  20. set_location_assignment PIN_R9 -to da_data1[5]
  21. set_location_assignment PIN_T11 -to da_data1[6]
  22. set_location_assignment PIN_R10 -to da_data1[7]
  23. set_location_assignment PIN_G2 -to da_data1[8]
  24. set_location_assignment PIN_R11 -to da_data1[9]

复制代码

1.4程序设计
       根据本章的实验任务,FPGA需要连续输出正弦波波形的数据,才能使3PD5651E连续输出正弦波波形的模拟电压,如果通过编写代码使用三角函数公式运算的方式输出正弦波数据,那么程序设计会变得非常复杂。在工程应用中,一般将正弦波波形数据存储在RAM或者ROM中,由于本次实验并不需要写数据到RAM中,因此我们将正弦波波形数据存储在只读的ROM中,直接读取ROM中的数据发送给DA转换芯片即可。
       图 36.4.1是根据本章实验任务画出的系统框图。ROM里面事先存储好了正弦波波形的数据,DA数据发送模块从ROM中读取数据,将数据和时钟送到3PD5651E芯片的输入数据端口和输入时钟端口。
       双路高速DA实验的系统框图如图 36.4.1所示:
5.png

图 36.4.1 双路高速DA系统框图

        顶层模块的原理图如下图所示:
第三十六章 双路高速DA实验5419.png

图 36.4.2 顶层模块原理图

       FPGA顶层模块(hs_dual_da)例化了以下三个模块:DA数据发送模块(da_wave_send)、ROM波形存储模块(rom_1024x10b)和时钟模块(clk_wiz_0)。
       DA数据发送模块(da_wave_send):DA数据发送模块输出读ROM地址,将输入的ROM数据发送至DA转换芯片的数据端口。
ROM波形存储模块(rom_1024x10b):ROM波形存储模块由Vivado软件自带的Block Memory Generator IP核实现,其存储的波形数据可以使用波形转存储文件的上位机来生成.coe文件。
       顶层模块的代码如下:
  1. 1   module hs_dual_da(
  2. 2       input                 sys_clk     ,  //系统时钟
  3. 3       input                 sys_rst_n   ,  //系统复位,低电平有效
  4. 4       //DA芯片接口
  5. 5       output                da_clk      ,  //DA驱动时钟,最大支持125Mhz时钟
  6. 6       output    [9:0]       da_data     ,  //输出给DA的数据
  7. 7   
  8. 8       //DA芯片接口
  9. 9       output                da_clk1      ,  //DA驱动时钟,最大支持125Mhz时钟
  10. 10      output    [9:0]       da_data1        //输出给DA的数据   
  11. 11  );
  12. 12  
  13. 13  //wire define
  14. 14  wire      [9:0]    rd_addr;              //ROM读地址
  15. 15  wire      [9:0]    rd_data;              //ROM读出的数据
  16. 16  //*****************************************************
  17. 17  //**                    main code
  18. 18  //*****************************************************
  19. 19  
  20. 20  assign  da_clk1 = da_clk;
  21. 21  assign  da_data1 = da_data;
  22. 22  
  23. 23  pll  u_pll(
  24. 24      .inclk0 (sys_clk),
  25. 25      .c0 (clk));
  26. 26  
  27. 27  //DA数据发送
  28. 28  da_wave_send u_da_wave_send(
  29. 29      .clk         (clk),
  30. 30      .rst_n       (sys_rst_n),
  31. 31      .rd_data     (rd_data),
  32. 32      .rd_addr     (rd_addr),
  33. 33      .da_clk      (da_clk),  
  34. 34      .da_data     (da_data)
  35. 35      );
  36. 36  
  37. 37  //ROM存储波形
  38. 38  rom_1024x10b  u_rom_1024x10b(
  39. 39      .address    (rd_addr),
  40. 40      .clock      (clk),
  41. 41      .q          (rd_data)
  42. 42      );
  43. 43      
  44. 44  endmodule
复制代码

       在代码的第23至25行例化了时钟模块,倍频出125M时钟给DA芯片采样用。
       DA数据发送模块输出的读ROM地址(rd_addr)连接至ROM模块的地址输入端,ROM模块输出的数据(rd_data)连接至DA数据发送模块的数据输入端,从而完成了从ROM中读取数据的功能。
       在代码的第38至42行例化了ROM模块,由ROM IP核配置生成。在“高速ADDA试验”中已经讲解过rom的初始化,这里不再讲解。
       DA数据发送模块的代码如下:
  1. 1   module da_wave_send(
  2. 2       input                 clk         ,  //时钟
  3. 3       input                 rst_n       ,  //复位信号,低电平有效
  4. 4      
  5. 5       input        [9:0]    rd_data     ,  //ROM读出的数据
  6. 6       output  reg  [9:0]    rd_addr     ,  //读ROM地址
  7. 7       //DA芯片接口
  8. 8       output                da_clk      ,  //DA驱动时钟,最大支持125Mhz时钟
  9. 9       output       [9:0]    da_data        //输出给DA的数据  
  10. 10      );
  11. 11  
  12. 12  //parameter
  13. 13  //频率调节控制
  14. 14  parameter  FREQ_ADJ = 10'd0;  //频率调节,FREQ_ADJ的越大,最终输出的频率越低,范围0~255
  15. 15  
  16. 16  //reg define
  17. 17  reg    [9:0]    freq_cnt  ;  //频率调节计数器
  18. 18  
  19. 19  //*****************************************************
  20. 20  //**                    main code
  21. 21  //*****************************************************
  22. 22  
  23. 23  //数据rd_data是在clk的上升沿更新的,所以DA芯片在clk的下降沿锁存数据是稳定的时刻
  24. 24  //而DA实际上在da_clk的上升沿锁存数据,所以时钟取反,这样clk的下降沿相当于da_clk的上升沿
  25. 25  assign  da_clk = clk;      
  26. 26  assign  da_data = rd_data;   //将读到的ROM数据赋值给DA数据端口
  27. 27  
  28. 28  //频率调节计数器
  29. 29  always @(posedge clk or negedge rst_n) begin
  30. 30      if(rst_n == 1'b0)
  31. 31          freq_cnt <= 10'd0;
  32. 32      else if(freq_cnt == FREQ_ADJ)   
  33. 33          freq_cnt <= 10'd0;
  34. 34      else         
  35. 35          freq_cnt <= freq_cnt + 10'd1;
  36. 36  end
  37. 37  
  38. 38  //读ROM地址
  39. 39  always @(posedge clk or negedge rst_n) begin
  40. 40      if(rst_n == 1'b0)
  41. 41          rd_addr <= 10'd0;
  42. 42      else begin
  43. 43          if(freq_cnt == FREQ_ADJ) begin
  44. 44              rd_addr <= rd_addr + 10'd1;
  45. 45          end   
  46. 46      end            
  47. 47  end
  48. 48  
  49. 49  endmodule
复制代码

       在代码的第14行定义了一个参数FREQ_ADJ(频率调节),可以通过控制频率调节参数的大小来控制最终输出正弦波的频率大小,频率调节参数的值越小,正弦波频率越大。频率调节参数调节正弦波频率的方法是通过控制读ROM的速度实现的,频率调节参数越小,freq_cnt计数到频率调节参数值的时间越短,读ROM数据的速度越快,那么正弦波输出频率也就越高;反过来,频率调节参数越大,freq_cnt计数到频率调节参数值的时间越长,读ROM数据的速度越慢,那么正弦波输出频率也就越低。由于freq_cnt计数器的位宽为10位,计数范围是0~1023,所以频率调节参数FREQ_ADJ支持的调节范围是0~1023,可通过修改freq_cnt计数器的位宽来修改FREQ_ADJ支持的调节范围。
       WaveToMem软件设置ROM深度为1024,倍频系数为1,而输入时钟为125Mhz,那么一个完整的正弦波周期长度为1024*8ns = 8192ns,当FREQ_ADJ的值为0时,即正弦波的最快输出频率为1s/8192ns(1s = 1000000000ns) ≈ 122.0Khz。当我们把FREQ_ADJ的值设置为5时,一个完整的正弦波周期长度为5120ns*(5+1) =49152ns,频率约为20.35KHz。也可以在WaveToMem软件设置中增加倍频系数或者增加AD的驱动时钟来提高正弦波输出频率。
1.5下载验证
       将双路高速DA模块插入新起点开发板的P6扩展口,连接时注意扩展口电源引脚方向和开发板电源引脚方向一致。
       新起点开发板硬件连接实物图如下图所示:
第三十六章 双路高速DA实验9445.png

图 36.5.1 新起点开发板硬件连接实物图

       接下来我们将下载器一端连接电脑,另一端与开发板上对应端口连接,连接电源线并打开电源开关,将工程生成的sof文件下载到新起点开发板中后,然后使用示波器测量DA输出通道的波形。首先将示波器带夹子的一端连接到开发板的GND位置(可使用杜邦线连接至开发板上的任一的GND管脚),然后将另一端探针插入双路高速DA模块的DA通道中间的金属圆圈内(注意将红色的保护套拿掉),如图 36.5.2所示。
第三十六章 双路高速DA实验9730.png

图 36.5.2 DA模拟电压测量孔位

       此时观察示波器可以看到正弦波的波形,如果观察不到波形,可查看示波器设置是否正确,可以尝试按下示波器的“AUTO”,再次观察示波器波形。示波器的显示界面如下图所示:
第三十六章 双路高速DA实验9877.png

图 36.5.3 示波器显示界面


阿莫论坛20周年了!感谢大家的支持与爱护!!

一只鸟敢站在脆弱的枝条上歇脚,它依仗的不是枝条不会断,而是自己有翅膀,会飞。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-3-29 15:07

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表