搜索
bottom↓
回复: 0

《领航者ZYNQ之FPGA开发指南_V2》第八章 LED灯闪烁实验

[复制链接]

出0入234汤圆

发表于 2021-11-6 16:04:02 | 显示全部楼层 |阅读模式
1)实验平台:正点原子领航者V2 ZYNQ开发板
2)  章节摘自【正点原子】《领航者ZYNQ之FPGA开发指南_V2 》
3)购买链接:https://detail.tmall.com/item.htm?id=609032204975
4)全套实验源码+手册+视频下载地址:http://www.openedv.com/thread-329957-1-1.html
5)正点原子官方B站:https://space.bilibili.com/394620890
6)正点原子FPGA技术交流QQ群:905624739
1.png

2.jpg


3.png


第八章 LED灯闪烁实验

       LED灯闪烁作为一个经典的入门实验,其地位堪比编程界的“Hello,World!”。对于很多电子工程师来说,LED灯闪烁都是他们在硬件上观察到的第一个实验现象。本章我们同样通过LED灯闪烁实验,带你进入ZYNQ的精彩世界。
       本章包括以下几个部分:
       1.1LED灯简介
       1.2实验任务
       1.3硬件设计
       1.4程序设计
       1.5下载验证

1.1LED灯简介
       LED,又名发光二极管。LED灯工作电流很小(有的仅零点几毫安即可发光),抗冲击和抗震性能好,可靠性高,寿命长。由于这些优点,LED灯被广泛用在仪器仪表中作指示灯、液晶屏背光源等诸多领域。
       不同材料的发光二极管可以发出红、橙、黄、绿、青、蓝、紫、白这八种颜色的光。图 7.5.13.1是可以发出黄、红、蓝三种颜色的直插型二极管实物图,这种二极管长的一端是阳极,短的一端是阴极。图 7.5.13.2是开发板上用的贴片二极管实物图。贴片二极管的正面一般都有颜色标记,有标记的那端就是阴极。
第九章 LED灯闪烁实验465.png

图 7.5.13.1 发光二极管实物图

第九章 LED灯闪烁实验530.png

图 7.5.13.2 贴片发光二极管实物图

       发光二极管与普通二极管一样具有单向导电性。给它加上阳极正向电压后,通过5mA左右的电流就可以使二极管发光。通过二极管的电流越大,发出的光亮度越强。不过我们一般将电流限定在3~20mA之间,否则电流过大就会烧坏二极管。
1.2实验任务
       本节实验任务是使领航者底板上的PL LED0和PL LED1以固定的频率交替闪烁。
1.3硬件设计
       底板上LED的原理图如下图所示:
第九章 LED灯闪烁实验776.png

图 7.5.13.1  LED灯硬件原理图

       在图 7.5.13.1中,PL_LED0和PL_LED1连接到ZYNQ的PL端,PS_LED0和PS_LED1连接到ZYNQ的PS端。在《领航者ZYNQ之FPGA开发指南》中,我们只使用PL端的外设。
       PL_LED0和PL_LED1的阴极通过 470 欧姆的电阻连到地(GND)上,阳极由ZYNQ PL的IO管脚控制,LED与地之间的电阻起到限流作用。
       本实验中,系统时钟、按键复位以及两个LED端口的管脚分配如下表所示,其中复位按键和两个LED位于底板上,时钟位于核心板上:

1.png

表 8.3.1  LED闪烁实验管脚分配


        对应的XDC约束语句如下所示:
  1. set_property -dict {PACKAGE_PIN U18 IOSTANDARD LVCMOS33} [get_ports sys_clk]
  2. set_property -dict {PACKAGE_PIN N16 IOSTANDARD LVCMOS33} [get_ports sys_rst_n]
  3. set_property -dict {PACKAGE_PIN H15 IOSTANDARD LVCMOS33} [get_ports {led[0]}]
  4. set_property -dict {PACKAGE_PIN L15 IOSTANDARD LVCMOS33} [get_ports {led[1]}]
复制代码

1.4程序设计
       由于发光二极管的阳极与ZYNQ的管脚相连,只需要改变与LED灯相连的ZYNQ管脚的电平,LED灯的亮灭状态就会发生变化。当ZYNQ管脚为高电平时,LED灯点亮;为低电平时,LED灯熄灭。
       本次设计的模块端口及信号连接如下图所示:
第九章 LED灯闪烁实验1802.png

图 7.5.13.1 LED灯模块原理图

       其中,计数器对50MHz时钟进行计数,从而达到计时的目的。计数器在每次计时到1秒之后清零,然后重新开始计数,计数的值用于控制LED的显示状态。当计数器的值小于0.5s时,就把LED0点亮并把LED1熄灭;每当计数器的值大于0.5s时,就把LED0熄灭并把LED1点亮,以此实现两个LED的交替闪烁。
       LED闪烁模块的代码如下:
  1. 1   module  led_twinkle(
  2. 2       input          sys_clk  ,  //系统时钟
  3. 3       input          sys_rst_n,  //系统复位,低电平有效
  4. 4   
  5. 5       output  [1:0]  led         //LED灯
  6. 6   );
  7. 7   
  8. 8   //reg define
  9. 9   reg  [25:0]  cnt ;
  10. 10  
  11. 11  //*****************************************************
  12. 12  //**                    main code
  13. 13  //*****************************************************
  14. 14  
  15. 15  //对计数器的值进行判断,以输出LED的状态
  16. 16  assign led = (cnt < 26'd2500_0000) ? 2'b01 : 2'b10 ;
  17. 17  
  18. 18  //计数器在0~5000_000之间进行计数
  19. 19  always @ (posedge sys_clk or negedge sys_rst_n) begin
  20. 20      if(!sys_rst_n)
  21. 21          cnt <= 26'd0;
  22. 22      else if(cnt < 26'd5000_0000)
  23. 23          cnt <= cnt + 1'b1;
  24. 24      else
  25. 25          cnt <= 26'd0;
  26. 26  end
  27. 27  
  28. 28  endmodule
复制代码

       本程序中输入时钟为50MHz,所以一个时钟周期为20ns(1/50MHz)。因此计数器cnt通过对50MHz系统时钟计数,计时到1s,需要累加1s/20ns=5000_0000次。在代码第23行,每当计时到1s计数器清零一次。
       同时,在代码的第16行,对根据计数器的计数值来赋值两个LED的状态。当计数值小于26'd2500_000即计时到1s中的前500ms时,LED0点亮LED1熄灭;当计数值大于等于26'd2500_000,即计时到1s中的后500ms时,LED0熄灭LED1点亮。当计数到1s时,计数器又会回0,重复此过程。以此实现两个LED的交替闪烁。
       为了验证我们的程序,我们在Vivado内对代码进行仿真。为了更容易地看到仿真现象,我们将源代码中的计数器的最大计数值修改为5,然后再仿真,如下图所示:
第九章 LED灯闪烁实验3130.png

图 7.5.13.2 仅用于仿真的代码

Testbench模块代码如下:
  1. 1   `timescale 1ns / 1ps
  2. 2   
  3. 3   module tb_led_twinkle();
  4. 4   
  5. 5   //输入
  6. 6   reg           sys_clk;
  7. 7   reg           sys_rst_n;
  8. 8   
  9. 9   //输出
  10. 10  wire  [1:0]   led;
  11. 11  
  12. 12  //信号初始化
  13. 13  initial begin
  14. 14      sys_clk = 1'b0;
  15. 15      sys_rst_n = 1'b0;
  16. 16      #200
  17. 17      sys_rst_n = 1'b1;
  18. 18  end
  19. 19  
  20. 20  //生成时钟
  21. 21  always #10 sys_clk = ~sys_clk;
  22. 22  
  23. 23  //例化待测设计
  24. 24  led_twinkle  u_led_twinkle(
  25. 25      .sys_clk         (sys_clk),
  26. 26      .sys_rst_n       (sys_rst_n),
  27. 27      .led             (led)
  28. 28      );
  29. 29  
  30. 30  endmodule
复制代码

        仿真得到的波形图如下图所示:
第九章 LED灯闪烁实验3761.png

图 7.5.13.3 仿真波形图

       从图 7.5.13.3中可以看到,计数器cnt的值在0到10之间循环计数。当cnt的值小于5时,led0为高电平;大于5时led0为高电平。两个LED的状态随着计数器的计数循环翻转,实现LED闪烁的效果。
1.5下载验证
       编译工程并生成比特流.bit文件后,点击Vivado左侧“Flow Navigator”窗口最下面的“Open Hardware Manager”按钮如下图所示。
第九章 LED灯闪烁实验4037.png

图 7.5.13.1 Hardware Manager界面

       此时将Xilinx下载器一端连接电脑,另一端与开发板上的JTAG下载口连接,开发板连接电源线,如下图所示:
第九章 LED灯闪烁实验4166.png

图 7.5.13.2 领航者开发板连接实物图

       注意!一定要先把下载器的一端连接到了电脑、另一端连接了JTAG接口之后,再给开发板上电!否则,对开发板的JTAG接口进行带电热插拔,有一定概率会损坏JTAG接口!
       开发板连接好电源线和下载器后,打开开发板电源开关,点击“Hardware”窗口中的“Auto Connect”图标,如下图所示:
第九章 LED灯闪烁实验4381.png

图 7.5.13.3 “Auto Connect”图标

        在“Hardware”子窗口中出现如下界面就表示Vivado就已经和下载器连接成功了,如下图所示:
第九章 LED灯闪烁实验4504.png

图 7.5.13.4 与下载器连接成功

        我们点击上图中的“Program Device”,弹出的界面如下图所示:
第九章 LED灯闪烁实验4606.png

图 7.5.13.5 下载比特流界面

       此时Bitstream File一栏会自动识别到工程的比特流文件,我们直接点击“Program”按钮下载程序,程序下载完成后,PL配置完成灯会点亮(LED3),此时我们可以看到位于底板上的两个LED灯在不断地闪烁,如下图所示:
第九章 LED灯闪烁实验4784.png

图 7.5.13.6 两个PL LED灯交替闪烁


阿莫论坛20周年了!感谢大家的支持与爱护!!

月入3000的是反美的。收入3万是亲美的。收入30万是移民美国的。收入300万是取得绿卡后回国,教唆那些3000来反美的!
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-4-25 14:04

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表