搜索
bottom↓
回复: 6

有个网友要的BIN转BCD示范代码,原创(代码原创思路参考前人的)

[复制链接]

出0入0汤圆

发表于 2010-8-18 09:58:59 | 显示全部楼层 |阅读模式
LIBRARY ieee;
USE ieee.std_logic_1164.all;
use        ieee.std_logic_arith.all;
use        ieee.std_logic_unsigned.all;

ENTITY UNTITLED IS
        PORT (CLK,RESET: IN std_logic;
                                BIN:buffer std_logic_vector(15 downto 0);
                                BCD:out std_logic_vector(19 downto 0));
END;



ARCHITECTURE BEHAVIOR OF UNTITLED IS
signal btemp:std_logic_vector(19 downto 0);
signal count:integer range 0 to 31;

BEGIN
        PROCESS (CLK,RESET)
        variable bt:std_logic_vector(19 downto 0);
        BEGIN
                IF ( RESET='1' ) THEN
                        BCD<="00000000000000000000";
                        btemp<="00000000000000000000";
                        --BIN<="1001001000010110";                                --9216=37398
                        BIN<="1111111111111111";                                --FFFF=65535
                        count<=0;
                ELSIF rising_edge(CLK) THEN
                        if count<15 then
                                count<=count+1;
                                bt:=btemp(18 downto 0)&BIN(15);
                                BIN(15 downto 1)<=BIN(14 downto 0);
                                if bt(19 downto 16)>"0100" then
                                        bt(19 downto 16):=bt(19 downto 16)+"0011";
                                end if;
                                if bt(15 downto 12)>"0100" then
                                        bt(15 downto 12):=bt(15 downto 12)+"0011";
                                end if;
                                if bt(11 downto 8)>"0100" then
                                        bt(11 downto 8):=bt(11 downto 8)+"0011";
                                end if;
                                if bt(7 downto 4)>"0100" then
                                        bt(7 downto 4):=bt(7 downto 4)+"0011";
                                end if;
                                if bt(3 downto 0)>"0100" then
                                        bt(3 downto 0):=bt(3 downto 0)+"0011";
                                end if;
                        elsif count=15 then
                                count<=count+1;
                                bt:=btemp(18 downto 0)&BIN(15);
                                BIN(15 downto 1)<=BIN(14 downto 0);
                        else
                                null;        
                        end if;
                        BCD<=bt;
                        btemp<=bt;
                       
                END IF;
        END PROCESS;

END BEHAVIOR;

阿莫论坛20周年了!感谢大家的支持与爱护!!

一只鸟敢站在脆弱的枝条上歇脚,它依仗的不是枝条不会断,而是自己有翅膀,会飞。

出0入0汤圆

发表于 2010-8-18 10:00:47 | 显示全部楼层
学习

出0入0汤圆

发表于 2010-8-19 21:10:23 | 显示全部楼层
收了备用

出0入0汤圆

发表于 2010-11-9 19:45:30 | 显示全部楼层
VHDL的啊,不懂哦!

出0入0汤圆

发表于 2011-10-20 16:56:51 | 显示全部楼层
谢谢!

出0入0汤圆

发表于 2011-10-21 22:07:15 | 显示全部楼层
回复【楼主位】888888888888
-----------------------------------------------------------------------

求verilog

出0入0汤圆

发表于 2011-11-14 21:38:18 | 显示全部楼层
回复【楼主位】NJ8888
-----------------------------------------------------------------------

楼主把BIN作为BUFFER 那怎么调用  不是输入了
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-5-19 10:51

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表