rei1984 发表于 2020-9-25 11:21:51

CPLD项目实践需求:求一些创新的好玩的小项目,其中用到CPLD的技术。


我大约断断续续用了 1个月学完了 EPM240 的Verilog 书。都是自学 , 自学的时候喜欢死磕(钻牛角尖) ,为了搞懂一些技术细节,经常调试到凌晨1点,还不觉得很累。然后第二天继续调试。

最近基本学完了语法, 大约做了 10多个实验。感觉自己跌跌撞撞可以试试起飞了。


所以先问问fpga 分舵的 各位大神,有没有什么 好玩的 cpld 项目 可以推荐。   最好是比较经典,难度又适合初学者提高的开源项目推荐?      能做一个实物出来更好了。

我希望通过阅读别人开源的代码, 然后自己在造一下轮子代码, 通过实践对比来提高自己的cpld 技术。

如果你是大神了, 曾经做过 哪些好玩的 cpld 项目, 请推荐一下github 或者 gitee 网址。   让论坛各位 菜鸟都能通过自己的努力 ,来提高自身的技术。谢谢了。

wye11083 发表于 2020-9-25 11:53:10

建议想折腾上安路,内置8mb sdram,给电就能用。另外opencores有ip可用,vexriscv可以跑riscv内核

qtechzdh 发表于 2020-9-25 12:45:58

wye11083 发表于 2020-9-25 11:53
建议想折腾上安路,内置8mb sdram,给电就能用。另外opencores有ip可用,vexriscv可以跑riscv内核 ...

型号和价格如何。啥封装。

阿豪博士 发表于 2020-9-25 12:51:45

cpld做基带

chenchaoting 发表于 2020-9-25 12:56:28

cpld 18b20,看看你的够不够

xinjin 发表于 2020-9-25 12:57:44

玩cpld,Verilog,千万不要玩嵌入cpu,否则又回到stm32

rei1984 发表于 2020-9-25 13:02:48

chenchaoting 发表于 2020-9-25 12:56
cpld 18b20,看看你的够不够

主要就是
18b20 在cpld 里面 难点就是 inoutdata 的用法+    时序。    在iic 上我栽了跟斗。 爬起来后, 对inout 不太害怕了

MoMo_avr 发表于 2020-9-25 13:12:15

CPLD的资源够,可以写个MCU的微内核。

canopen 发表于 2020-9-25 13:34:03

wye11083 发表于 2020-9-25 11:53
建议想折腾上安路,内置8mb sdram,给电就能用。另外opencores有ip可用,vexriscv可以跑riscv内核 ...

eg4s20大概多少钱一片啊,没找到货源。

rifjft 发表于 2020-9-25 13:45:06

现在EPM240 贵了不少,可以考虑折腾国产的,如AGM Micro 家的 AG128、AG256或者 安路的同类器件{:lol:}

应用方面,可以玩玩电机驱动,如基于SPWM、SVPWM,要是觉得 简单了再加个编码器闭环控制。再或者折腾一下显示屏刷屏,论坛内也有同类的应用,你换成国产的CPLD来折腾一下,再然后对比一下与进口器件的性能差异{:titter:}

rei1984 发表于 2020-9-25 14:20:15

rifjft 发表于 2020-9-25 13:45
现在EPM240 贵了不少,可以考虑折腾国产的,如AGM Micro 家的 AG128、AG256或者 安路的同类器件

应 ...

你换成国产的CPLD来折腾一下,再然后对比一下与进口器件的性能差异


最后一句话的意思是 ?   貌似 坑很多吗?

dukelec 发表于 2020-9-25 14:22:47

看下我這個用 240 做的鍵盤竊聽器如何:
https://blog.d-l.io/keylog

CPLD 輸出 UART 按鍵數據,有源碼。CPU 代碼之前在 mbed 在線寫的,時間長了被平台刪了,刪的時候連郵件通知都沒有。

rei1984 发表于 2020-9-25 14:35:39

dukelec 发表于 2020-9-25 14:22
看下我這個用 240 做的鍵盤竊聽器如何:
https://blog.d-l.io/keylog



6666

真大神出现了。。。

我看了你的github 很有启发。   问一下 你是在台湾工作吗?   而且英文也写得很流利?? 是如何炼成的?

zouzhichao 发表于 2020-9-25 18:13:45

FSMC总线在摊子里有

hbzxx 发表于 2020-9-25 18:45:10

xinjin 发表于 2020-9-25 12:57
玩cpld,Verilog,千万不要玩嵌入cpu,否则又回到stm32

NIOS2 玩玩也不错啊,用FPGA显得高大上啊。

rifjft 发表于 2020-9-27 15:00:39

rei1984 发表于 2020-9-25 14:20
你换成国产的CPLD来折腾一下,再然后对比一下与进口器件的性能差异




没点坑怎么可能,国外的大品牌还不是一样有坑嘛{:lol:} 关键看影响的大小而已。再说了,器件的替换,那有百分百完全要样的。即使是找原厂买的IP,还得考虑生产环节的影响……

所以,能把坑找出来并填了,才算有价值呀

desireyao 发表于 2020-9-27 15:19:16

{:biggrin:}做线径仪怎么样,可以提供楼主硬件{:biggrin:}{:biggrin:}{:biggrin:}

dukelec 发表于 2020-9-27 15:48:52

本帖最后由 dukelec 于 2020-9-27 15:55 编辑

rei1984 发表于 2020-9-25 14:35
6666

真大神出现了。。。


不是,大陸人,內地工作,英文不好所以才寫英文鍛煉。你覺得我英文寫的好只因為你的英文比 Google 翻譯爛而已,哦對了,還有 deepl.com/translator ;-)

rei1984 发表于 2020-9-27 19:19:47

desireyao 发表于 2020-9-27 15:19
做线径仪怎么样,可以提供楼主硬件

线径仪是测试 土地面积, 还是 测试电线 的直径是否达标的仪器?

desireyao 发表于 2020-9-28 08:38:16

rei1984 发表于 2020-9-27 19:19
线径仪是测试 土地面积, 还是 测试电线 的直径是否达标的仪器?

测试线经

carefree1986 发表于 2020-9-28 09:42:41

自己搞几个通讯协议玩玩,比如SCISPI I2Clin can再高级就是搞单片机内核了

ilan2003 发表于 2020-9-28 13:02:42

LCD Controller

redworlf007 发表于 2020-9-29 07:12:32

苦海无边回头是岸

qinxg 发表于 2020-9-29 08:30:03

可以玩个串口的收发试下.分频时域, 多次采样,接收FIFO,各种中断....

rei1984 发表于 2020-9-29 11:51:27

qinxg 发表于 2020-9-29 08:30
可以玩个串口的收发试下.分频时域, 多次采样,接收FIFO,各种中断....


串口 + FIFO 是做过。各种中断?啥意思。CPLD 几乎没有中断的概念。全部是并行设计的。 到了什么边沿(电平),去做什么事情,

huangqi412 发表于 2020-9-29 14:14:18

xinjin 发表于 2020-9-25 12:57
玩cpld,Verilog,千万不要玩嵌入cpu,否则又回到stm32

哈哈,又转回写C。

QVQ 发表于 2020-10-7 20:46:50

楼主学完了把书出给我吧。。我也买了开发板,一个月前买的,现在在吃灰。。我不想让他吃灰了{:shy:}

rei1984 发表于 2020-10-7 20:50:20

QVQ 发表于 2020-10-7 20:46
楼主学完了把书出给我吧。。我也买了开发板,一个月前买的,现在在吃灰。。我不想让他吃灰了 ...

书,我是图书馆借的。   自己买的从来不会看。。。

要不就和同事打赌。 15天学完一本书。 学不完, 花100元,请所有人喝奶茶。

就这样,做人才有点压力。   因为书是图书馆借的。 超时了要付钱。 所以 学的特别快。 你也可以试试我的办法。主要是我比较懒

rei1984 发表于 2020-10-7 20:52:56

QVQ 发表于 2020-10-7 20:46
楼主学完了把书出给我吧。。我也买了开发板,一个月前买的,现在在吃灰。。我不想让他吃灰了 ...

我大学里有个高手。

上课基本都是翘课。   然后到学期期末了。 花2天时间开始 撕书。 就是看一页撕掉1页。强逼自己学习。   等撕光了。 脑子也就轻松了。去考试了。。。。就这样的小伙伴, 我们工作后,他考上了博士。现在在某知名学校教 电子技术方面的课程

jjj 发表于 2020-10-8 13:45:32

推荐CPLD驱动TFT液晶屏, 这个难度适中,趣味性强,这个网站就有例子,   做出来之后,很提升自信心。

jjj 发表于 2020-10-8 13:46:51

ilan2003 发表于 2020-9-28 13:02
LCD Controller

哈哈,我也想的是这个

596142041 发表于 2020-10-8 15:32:33

要不就是做网络通信相关的,可以试试,或者摄像头相关的

snkaka 发表于 2020-10-8 19:53:53

做一个TDC 时基芯片 类似GP21,应用范围,激光测距,超声波水表

ilan2003 发表于 2020-10-8 20:38:56

jjj 发表于 2020-10-8 13:46
哈哈,我也想的是这个

做LCD控制器要掌握的东西很全面,单片机FSMC的驱动,液晶屏的时序,驱动原理,SRAM的驱动原理;

selme 发表于 2021-2-22 13:22:17

dukelec 发表于 2020-9-25 14:22
看下我這個用 240 做的鍵盤竊聽器如何:
https://blog.d-l.io/keylog



{:3_41:}   这个好   这个好

cztian 发表于 2021-2-22 17:06:45

上次买了一百块钱的EPM7128S,里边有五十个,相当便宜(收的尾货)。能代替几乎任何的中小规模集成电路。这个耐压7V,低于5V电平阈值会降,但是也能用。拿它做了个脉冲检测器,芯片接几个发光二极管就行。把计数器电路写进去之后,时钟输入接个漆包线,就可以检测周围的无线信号。把NFC读卡器打开,靠近漆包线,计数开始增加。(输入电流太小,不会把保护二极管烧掉,因此直接连接就可以)。还可以做频率发生器(使CPLD自激,通过选择器改变经过的门数控制自激频率,然后分频鉴相负反馈回来与晶振比较。虽然没有专用的锁相环输出频率稳定,但是作为演示还是可以的)。

rei1984 发表于 2021-2-22 20:10:13

cztian 发表于 2021-2-22 17:06
上次买了一百块钱的EPM7128S,里边有五十个,相当便宜(收的尾货)。能代替几乎任何的中小规模集成电路。这 ...

你这里原理性要求太高。一般人还看不懂。。。。

cztian 发表于 2021-2-24 11:38:34

rei1984 发表于 2021-2-22 20:10
你这里原理性要求太高。一般人还看不懂。。。。

开发CPLD,因为里边电路简单,画图比文字表述更适合。我用的MAX+plus II,使用Megafunctions这个功能,可以画出很漂亮的电路图(多路选择器、加法器、计数器等)。宏单元布局,也可以手工布好。

rei1984 发表于 2021-2-24 11:51:04

本帖最后由 rei1984 于 2021-2-24 11:52 编辑

cztian 发表于 2021-2-24 11:38
开发CPLD,因为里边电路简单,画图比文字表述更适合。我用的MAX+plus II,使用Megafunctions这个功能,可 ...

好奇葩的开发流程。

一般 开发 cpld 和 fpga 就等价于 写   软件代码了。当然脑子里有一副原理图更佳。

对于初学者 别说 原理图, 能把 语法写正确, 功能能做出来,已经很好啦。。

当然初学者和 有经验的 专职 cpld /fpga 开发工程师, 有巨大的差异。

我学到现在 才开始慢慢了解时序收敛,之前 一脸懵逼的。


学习 cpld ,也不是靠 cpld 吃饭,只是希望自己完善电子技术的 知识。 所以发一个帖子,问问大家,有什么简单的项目可以给初学者 练习

cztian 发表于 2021-2-24 13:23:50

rei1984 发表于 2021-2-24 11:51
好奇葩的开发流程。

一般 开发 cpld 和 fpga 就等价于 写   软件代码了。当然脑子里有一副原理图更佳 ...

我没专门开发过FPGA,但是我是从电路图学起电脑(我小时候喜欢拆电器),编程也是了解体系结构后来才学的。我环境工程的(地信方向),没学过啥计算机方面的课程,所以我更习惯画图开发。之前买过一个Anlogic的开发板,那个开发环境只能用Verilog,我照着原理图上的器件种类,写了几个模块,然后例化的。开发流程还是之前连线的流程,只不过把连线表述成了文字表述的网表。
页: [1]
查看完整版本: CPLD项目实践需求:求一些创新的好玩的小项目,其中用到CPLD的技术。