|
原代码(书里抄的,经反复校对没有打错)如下:
library ieee;
use ieee.std_logic_1164.all;
-------------------------------------
package my_package is
function positive_edge(signal s: std_logic)
return boolean;
end my_package;
----------------------------------------
package body my_package is
function positive_edge(signal s: std_logic)
return boolean is
begin
return s'event and s = '1';
end positive_edge;
end my_package;
-----------------------------------------
-------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.my_package.all;
----------------------------
entity dff2 is
port (d, clk, rst: in std_logic;
q: out std_logic);
end dff2;
-------------------------------
architecture my_arch of dff2 is
begin
process (clk, rst)
begin
if (rst = '1') then q <= '0' ;
elsif positive_edge(clk) then q <= d;
end if;
end process;
end my_arch;
(原文件名:%E6%88%AA%E5%9B%BE1.jpg)
编译时出错,不知道错误原因,应该怎么修改?
谢谢大家!!! |
阿莫论坛20周年了!感谢大家的支持与爱护!!
一只鸟敢站在脆弱的枝条上歇脚,它依仗的不是枝条不会断,而是自己有翅膀,会飞。
|