搜索
bottom↓
回复: 6

VHDL在maxplus2中编译时出错,请高手指点!!!

[复制链接]

出0入0汤圆

发表于 2009-7-18 15:37:13 | 显示全部楼层 |阅读模式
原代码(书里抄的,经反复校对没有打错)如下:
library ieee;
use ieee.std_logic_1164.all;
-------------------------------------
package my_package is
function positive_edge(signal s: std_logic)
    return boolean;
end my_package;
----------------------------------------
package body my_package is
function positive_edge(signal s: std_logic)
    return boolean is
begin
  return s'event and s = '1';
end positive_edge;
end my_package;
-----------------------------------------
-------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.my_package.all;
----------------------------
entity dff2 is
port (d, clk, rst: in std_logic;
   q: out std_logic);
end dff2;
-------------------------------
architecture my_arch of dff2 is
begin
process (clk, rst)
begin
  if (rst = '1') then q <= '0' ;
  elsif positive_edge(clk) then q <= d;
  end if;
end process;
end my_arch;

(原文件名:%E6%88%AA%E5%9B%BE1.jpg)

编译时出错,不知道错误原因,应该怎么修改?
谢谢大家!!!

阿莫论坛20周年了!感谢大家的支持与爱护!!

一只鸟敢站在脆弱的枝条上歇脚,它依仗的不是枝条不会断,而是自己有翅膀,会飞。

出0入0汤圆

发表于 2009-7-18 23:11:37 | 显示全部楼层
Line 31 是哪行?

出0入0汤圆

 楼主| 发表于 2009-7-19 12:11:10 | 显示全部楼层
29    process (clk, rst)
30    begin
31      if (rst = '1') then q <= '0' ;
32      elsif positive_edge(clk) then q <= d;
33      end if;
34    end process;

出0入0汤圆

发表于 2009-7-19 13:00:19 | 显示全部楼层
Xilinx ISE  语法检查可以通过

出0入0汤圆

发表于 2009-7-19 13:03:57 | 显示全部楼层
process (clk, rst)
begin
  if (rst = '1') then
     q <= '0' ;
  else
     if rising_edge(clk) then
        q <= d;
     end if;
  end if;
end process;

这样写看看

出0入0汤圆

发表于 2009-7-19 14:39:09 | 显示全部楼层
干嘛不用 Quartus II 呢,可以通过啊

出0入0汤圆

发表于 2009-7-19 18:25:58 | 显示全部楼层
maxplus2这个古董对vhdl和verilog支持都不全,很多编不过的,建议楼主听从楼上的建议,不要用这个了,换Quartus II。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-6-12 02:31

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表