搜索
bottom↓
回复: 5

xilinx下DCM级联PLL的问题,pll的驱动 几个always模块,出问题

[复制链接]

出0入0汤圆

发表于 2015-9-21 20:40:16 | 显示全部楼层 |阅读模式
本帖最后由 atom100 于 2015-9-21 21:08 编辑




如图中所示 ise环境下,pll的输出 选择 加了 BUFG,然后有这样的提示出错,
如果 PLL的输出 不连接任何 东西,不会出现这个提示,但是 如果定义了一个wire clk_out变量,并用这个变量 作为几个always模块的时钟,并且clk_out输入到几个module里去,就出这个问题

=============================
试验了下 ,只作为本级模块里的always 的时钟,无论是否在生成pll模块的时候,是否选择了BUFG,都不会报错,
但,如果作为本级模块里的always 的时钟 的同时 ,又输入了另外一个  模块
比如
uart   uart(
        .rst(rst),
        .clk(clk_out)
);
这样的话,就会报图中所示的错误,
什么原因呢?

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

阿莫论坛20周年了!感谢大家的支持与爱护!!

曾经有一段真挚的爱情摆在我的面前,我没有珍惜,现在想起来,还好我没有珍惜……

出0入0汤圆

发表于 2015-9-21 20:54:07 | 显示全部楼层
提示多清楚啊。。。自己手工加BUFG的话,认真看Clock的手册和PLL的说明。

为了相位,需要一个外部补偿环路,这个就是把对应的OUT直接连接到FBIN就行了。印象中是CLK或者CLK2X都行。

出0入0汤圆

发表于 2015-9-21 22:01:12 | 显示全部楼层
楼上正解~

出0入0汤圆

 楼主| 发表于 2015-9-23 06:36:26 | 显示全部楼层
本帖最后由 atom100 于 2015-9-23 07:14 编辑
dr2001 发表于 2015-9-21 20:54
提示多清楚啊。。。自己手工加BUFG的话,认真看Clock的手册和PLL的说明。

为了相位,需要一个外部补偿环路 ...

外部输入到FPGA的时钟频率只有 10MHz,只能把DCM放在前面


如果 DCM级联PLL的话,前级应该选择 上图的 1吧 ?后级应该 选择2吧 ?(但后级 没有 PLL_ADV这项 可供选择 ?但ISE提示中出现了PLL_ADV)
另外,下图中的DCM2PLL 是啥意思?在下图中的选项中 也没有这项呢 ?

刚搞spantan-6,看了好多资料,一些细节,还是搞不清头绪,望指教

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

发表于 2015-9-23 08:40:40 | 显示全部楼层
1、看手册,所有信息都来自于手册。没有额外的信息来源。

2、相位关系。如果你都不知道对于相位的需求,那就无所谓。PLL/DCM很多东西是用来补偿相位的。

出0入0汤圆

 楼主| 发表于 2015-9-23 09:31:07 来自手机 | 显示全部楼层
请教。DCM 2PLL 这项在哪里设置?
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-6-10 02:42

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表