搜索
bottom↓
回复: 23

请教2段程序基本一样,竟然1段能运行1段不能运行verilog语言

[复制链接]

出0入98汤圆

发表于 2015-10-15 20:50:17 | 显示全部楼层 |阅读模式
本帖最后由 cdust 于 2015-10-16 09:56 编辑

        always @(posedge clk)
                if(!reset) clk_div <= 0;
                else if(counter<100)
                                        clk_div <= 1;
                else clk_div <= 0;
               

        always @(posedge clk)
                if(!reset) led2 <= 1'b0;
                else  led2 <= 1;
VERILOG编写 的,在同一个文件里面,上下隔2条空行,CLK_DIV可以出准确的波形,下面的LED2就不能置1,搞了2天多了,实在搞不明白,特来请教群中高人。

全部程序如下
module beep(clk,reset,clk_div,led1,led4);
input clk,reset;
output clk_div,led1,led4;
reg [9:0] counter;
reg clk_div;
reg tp1;
   /*********方波发生器********/
        always @(posedge clk)
                if(!reset) counter <= 0;
                else if(counter==415) counter <= 0;
                else counter <= counter+1;
        always @(posedge clk)
                if(!reset) clk_div <= 0;
                else if(counter<100)
                                        clk_div <= 1;
                else clk_div <= 0;
///////////////////////////////////////////////
        always @(posedge clk)
                if(!reset) tp1 <= 0;
                else  tp1 <= 1;
       

        assign led1 = clk_div;
        assign led4 = tp1;
       

endmodule

//////////////////////////////////////////为分界线,上面运行正常出波形,下面就是不肯出高电平。郁闷啊。
**********修改添加全部程序

阿莫论坛20周年了!感谢大家的支持与爱护!!

曾经有一段真挚的爱情摆在我的面前,我没有珍惜,现在想起来,还好我没有珍惜……

出0入0汤圆

发表于 2015-10-15 21:01:18 | 显示全部楼层
IO配置的没有问题?

出20入25汤圆

发表于 2015-10-15 21:06:43 | 显示全部楼层
仿真看看有波形么

出0入98汤圆

 楼主| 发表于 2015-10-15 21:10:22 | 显示全部楼层
vipjph 发表于 2015-10-15 21:01
IO配置的没有问题?

IO配置了.特地配置的一样
module beep(clk,reset,clk_div,clk_ck,led1,led2,led3,led4);
input clk,reset,clk_ck;
output clk_div,led1,led2,led3,led4;
reg [9:0] counter;
reg clk_div,ck;
reg led2;

出0入0汤圆

发表于 2015-10-15 21:24:50 | 显示全部楼层
仿真一下吧

出0入0汤圆

发表于 2015-10-15 23:32:15 | 显示全部楼层
  always @(posedge clk)
                if(!reset) led2 <= 1'b0;
                else  led2 <= 1‘b1;
会不会是这个问题?

出0入0汤圆

发表于 2015-10-15 23:39:57 | 显示全部楼层
是仿真不正常,还是示波器/逻辑分析仪测波形不正常?
后者的话检查一下引脚的输出配置

此外为排除问题,可以直接写 assign led2 = 1'b1; 看看

出0入0汤圆

发表于 2015-10-16 06:56:14 来自手机 | 显示全部楼层
else if(counter<100)                                          clk_div <= 1;

出0入0汤圆

发表于 2015-10-16 06:57:48 来自手机 | 显示全部楼层
条件不一样,结果当然不一样

出0入0汤圆

发表于 2015-10-16 07:02:16 来自手机 | 显示全部楼层
有可能复位一直是低电瓶

出0入98汤圆

 楼主| 发表于 2015-10-16 09:14:43 | 显示全部楼层
735953120@qq.co 发表于 2015-10-15 23:32
always @(posedge clk)
                if(!reset) led2

试过了,不是这个问题。

出0入98汤圆

 楼主| 发表于 2015-10-16 09:15:37 | 显示全部楼层
wudicgi 发表于 2015-10-15 23:39
是仿真不正常,还是示波器/逻辑分析仪测波形不正常?
后者的话检查一下引脚的输出配置

2个是上下关系,上面一个运行的很好,下面一个,就没有反应。

出0入98汤圆

 楼主| 发表于 2015-10-16 09:16:09 | 显示全部楼层

这条运行正常

出0入98汤圆

 楼主| 发表于 2015-10-16 09:17:28 | 显示全部楼层
121854416 发表于 2015-10-16 06:57
条件不一样,结果当然不一样

结果是不一样,但是问题是前面一个出结果,下面那个没有结果,2条程序一起运行的,上面一个有反应,下面一条没有反应,

出0入0汤圆

发表于 2015-10-16 11:34:56 来自手机 | 显示全部楼层
看综合出来的电路图是怎么样的

出0入98汤圆

 楼主| 发表于 2015-10-16 12:49:32 | 显示全部楼层
MDC012170 发表于 2015-10-16 11:34
看综合出来的电路图是怎么样的

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入98汤圆

 楼主| 发表于 2015-10-16 20:43:32 | 显示全部楼层
请教,有人能教教我哪里出问题了吗?

出0入0汤圆

发表于 2015-10-17 07:55:51 来自手机 | 显示全部楼层
always  下面加begin...end

出0入98汤圆

 楼主| 发表于 2015-10-17 08:01:47 | 显示全部楼层
本帖最后由 cdust 于 2015-10-17 08:04 编辑
121854416 发表于 2015-10-17 07:55
always  下面加begin...end


谢谢,但是没有用,加了也一样,上面的没有加也运行的好好的么。奇了怪了。

出0入0汤圆

发表于 2015-10-17 08:38:22 | 显示全部楼层
LED那个IO口有没试过邦定在其他口试过?

出0入0汤圆

发表于 2015-10-17 09:29:23 | 显示全部楼层
LZ 再看一遍回复检查一遍的,你的回复和别人指出的可能问题都不对着
你总说上下两段程序是一样的,其实上边那段代码的输出正常的话,只能证明 clk 时钟信号正常,clk_div 分配到的引脚输出正常
你也一直没说是仿真结果不对还是在硬件上跑结果不对,也没说用 assign 直接将 led2 固定为高电平时的结果
光在这怀疑为什么不对没用,得逐一问题检查和排除

出0入0汤圆

发表于 2015-10-17 16:50:43 | 显示全部楼层
没有看到led2的输出啊,只看到led1和4

出0入0汤圆

发表于 2015-10-19 18:20:14 | 显示全部楼层
楼主的程序,用QTII自带的仿真软件运行,reset后LED4输出显示是高电平。

出0入0汤圆

发表于 2015-10-19 19:06:43 来自手机 | 显示全部楼层
改成posedge clk or negedge reset 就好,
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-6-10 06:45

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表