搜索
bottom↓
回复: 108

m32点亮股票机的640*200单色屏幕(EG7014)

[复制链接]

出0入0汤圆

发表于 2008-9-14 13:56:15 | 显示全部楼层 |阅读模式

(原文件名:1.jpg)


font color=green>(原文件名:2.jpg)</font>


(原文件名:3.jpg)

出0入42汤圆

发表于 2008-9-14 14:02:42 | 显示全部楼层
cool

出0入0汤圆

 楼主| 发表于 2008-9-14 14:07:12 | 显示全部楼层
//#include <avr/signal.h>
#include <avr/io.h>
#include <avr/interrupt.h>
#define                DOFF_L      PORTA&=~(1<<PA3)
#define                DOFF_H                PORTA|= (1<<PA3)
#define                YD_L        PORTA&=~(1<<PA0)
#define                YD_H                PORTA|= (1<<PA0)       
#define                LP_L        PORTA&=~(1<<PA1)
#define                LP_H                PORTA|= (1<<PA1)       
#define                XSCL_L      PORTA&=~(1<<PA2)
#define                XSCL_H                PORTA|= (1<<PA2)
#define                DATA_LCD        PORTB               
               


void port_init(void)
{   
          PORTA = 0x00;
        DDRA  = 0xFF;
        PORTB = 0x00;
        DDRB  = 0xFF;
        PORTC = 0x00;
        DDRC  = 0xFF;
        PORTD = 0x00;
        DDRD  = 0xFF;
       
}

int  main(void)
{   

     unsigned char i=0b00000001,hang,zhen;
   
     cli(); //禁止所有中断
         port_init();
         sei();//开全局中断
     DOFF_H;
        while(1)
        {
       
       

          for(hang=0;hang<200;hang++)
           {
             if(hang==1)YD_H;
              LP_H;                 
              LP_L;
                 if(hang==1)YD_L;
                  for(zhen=0;zhen<80;zhen++)
                   {
                     XSCL_H;
                         if(hang<100)
                         {
                          if(zhen<20)DATA_LCD=0b01010101;
                          if((zhen>20)&(zhen<40))DATA_LCD=0b00110011;
                          if((zhen>40)&(zhen<60))DATA_LCD=0b00001111;
                          if(zhen>60)DATA_LCD=0b11111110;
                          }
                          else                
                          {
                          if(zhen<20)DATA_LCD=0b11111110;
                          if((zhen>20)&(zhen<40))DATA_LCD=0b00001111;
                          if((zhen>40)&(zhen<60))DATA_LCD=0b00110011;
                          if(zhen>60)DATA_LCD=0b01010101;
                          }
                        // DATA_LCD=i;
                         XSCL_L;
                        }
                }       
                         
          
        }

出0入90汤圆

发表于 2008-9-14 15:04:47 | 显示全部楼层
什么都没看到啊!亮了吗?

出160入0汤圆

发表于 2008-9-14 15:12:06 | 显示全部楼层
这个屏有灰度?

出0入0汤圆

 楼主| 发表于 2008-9-14 15:34:29 | 显示全部楼层

(原文件名:1.jpg)


(原文件名:2.jpg)


(原文件名:3.jpg)

出0入0汤圆

 楼主| 发表于 2008-9-14 15:37:05 | 显示全部楼层
相机同事带走了,设像头拍的
此屏为640*200 STN LCD 无灰度

出0入0汤圆

发表于 2008-9-14 16:57:50 | 显示全部楼层
我用M16驱动这块屏。好像速度不够。
你是直接驱动的屏呢。还是屏上本身就有LCD控制器啊



(原文件名:armok0196726.JPG)



(原文件名:armok0196388.JPG)

出0入0汤圆

发表于 2008-9-14 17:04:11 | 显示全部楼层
楼上的是什么屏啊?
好象不是那块屏吧!

出0入0汤圆

发表于 2008-9-14 17:23:23 | 显示全部楼层
神速啊!

出0入0汤圆

发表于 2008-9-14 17:46:05 | 显示全部楼层
LZ,我看你给的程序,是显示那个条纹的吧?

这种有规律的画面好说,关键是如何解决复杂的画面?总的开一个ram显示区吧!当初那个320×240屏就是显示缓存不好解决,我才放弃的。现在这个屏是640×200,比320×240还大,你如何解决?

出0入0汤圆

 楼主| 发表于 2008-9-14 17:46:15 | 显示全部楼层
我是直接驱动的
我2楼代码,ATMEGA32工作频率在4MHZ LCD才看不出闪烁恩,
用AVR直驱此LCD,就别想做别的了
有机会改AVR+CPLD+SRAM方案

出0入0汤圆

发表于 2008-9-14 17:47:02 | 显示全部楼层
为什么不用板子上原本的1355啊!

出0入0汤圆

 楼主| 发表于 2008-9-14 17:53:00 | 显示全部楼层
板子上的是1335!
1335给LCD的数据是本是四位的,在这通过74HC174 & 74HC74锁存为8位给EG7014,没搞懂怎么写这个1335驱动

出0入0汤圆

发表于 2008-9-15 13:03:44 | 显示全部楼层
努力一把,也好穿裤子啊

出0入0汤圆

 楼主| 发表于 2008-9-15 16:29:36 | 显示全部楼层
每秒不可少于40帧,否则画面闪,乱码恩

出0入0汤圆

 楼主| 发表于 2008-9-15 16:32:01 | 显示全部楼层
ATMEGA32&nbsp;&nbsp;8MHZ

TC2&nbsp;中断刷新(每秒40帧)

图片滚动显示(图片库&nbsp;tu.h&nbsp;)









#include&nbsp;&lt;tu.h>

#include&nbsp;&lt;avr/io.h>

#include&nbsp;&lt;avr/interrupt.h>

#define&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;DOFF_L&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTA&=~(1&lt;&lt;PA3)

#define&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;DOFF_H&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTA|=&nbsp;(1&lt;&lt;PA3)

#define&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;YD_L&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTA&=~(1&lt;&lt;PA0)

#define&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;YD_H&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTA|=&nbsp;(1&lt;&lt;PA0)&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;

#define&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;LP_L&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTA&=~(1&lt;&lt;PA1)

#define&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;LP_H&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTA|=&nbsp;(1&lt;&lt;PA1)&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;

#define&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;XSCL_L&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTA&=~(1&lt;&lt;PA2)

#define&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;XSCL_H&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTA|=&nbsp;(1&lt;&lt;PA2)

#define&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;DATA_LCD&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTB

#define&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;LED_H&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTA|=&nbsp;(1&lt;&lt;PA4)

#define&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;LED_L&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTA&=~(1&lt;&lt;PA4)&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;



unsigned&nbsp;char&nbsp;hang,zhen,k=0;

unsigned&nbsp;long&nbsp;i=0,s=0,n=1000,j=1000;



void&nbsp;timer2_init(void)

{

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;TCCR2&nbsp;&nbsp;=&nbsp;0x00;//停止定时器

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ASSR&nbsp;&nbsp;&nbsp;=&nbsp;0x00;//异步时钟模式

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;TCNT2&nbsp;&nbsp;=&nbsp;0x00;//初始值

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;OCR2&nbsp;&nbsp;&nbsp;=&nbsp;0xC2;//匹配值

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;TIMSK&nbsp;|=&nbsp;0xC0;//中断允许

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;TCCR2&nbsp;&nbsp;=&nbsp;0x0F;//启动定时器

}



void&nbsp;port_init(void)

{&nbsp;&nbsp;&nbsp;



&nbsp;&nbsp;&nbsp;&nbsp;cli();&nbsp;//禁止所有中断

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTA&nbsp;=&nbsp;0x00;

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;DDRA&nbsp;&nbsp;=&nbsp;0xFF;&nbsp;

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTB&nbsp;=&nbsp;0x00;

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;DDRB&nbsp;&nbsp;=&nbsp;0xFF;

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTC&nbsp;=&nbsp;0x00;

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;DDRC&nbsp;&nbsp;=&nbsp;0xFF;

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTD&nbsp;=&nbsp;0x00;

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;DDRD&nbsp;&nbsp;=&nbsp;0xFF;

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;timer2_init();

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;DOFF_H;

&nbsp;&nbsp;&nbsp;&nbsp;sei();//开全局中断

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;

}



SIGNAL(SIG_OUTPUT_COMPARE2)

{

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;//中断发生时刻在TCNT2=OCR2

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;//LED_L;

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;LED_L;

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;k++;

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;if(k>10){k=0;s=s+160;}

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;//s=s+80;

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;if(s>14400)s=0;



&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;for(hang=0;hang&lt;200;hang++)

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;{&nbsp;

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;if(hang==1)YD_H;

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;LP_H;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;LP_L;

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;if(hang==1)YD_L;

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;for(zhen=0;zhen&lt;80;zhen++)

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;{&nbsp;

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;XSCL_H;

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;DATA_LCD=pgm_read_byte(&nbsp;&gImage_a[i++]);&nbsp;

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;XSCL_L;

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;}

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;}&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;i=s;

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;

}





//T2溢出中断服务程序

//#pragma&nbsp;interrupt_handler&nbsp;timer2_ovf_isr:6

//void&nbsp;timer2_ovf_isr(void)

SIGNAL(SIG_OVERFLOW2)

{

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;TCNT2&nbsp;=&nbsp;0x00;

}

int&nbsp;&nbsp;main(void)

{&nbsp;&nbsp;&nbsp;





&nbsp;&nbsp;&nbsp;&nbsp;port_init();

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;while(1)

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;{

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;LED_H;&nbsp;&nbsp;

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;}

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;

&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;

}

出0入0汤圆

发表于 2008-9-15 17:43:30 | 显示全部楼层
楼主能不能简要说明一下你这个程序啊?我是菜鸟,学习ing~~~

出0入0汤圆

发表于 2008-9-15 19:03:47 | 显示全部楼层
wangguanfu,想不想用SED1335驱动这快屏啊,大家一起研究一下。

出0入0汤圆

发表于 2008-9-15 19:13:09 | 显示全部楼层
期待…… 很期待……
非常期待…… 热烈得期待…… …………………………

出0入0汤圆

发表于 2008-9-15 19:15:49 | 显示全部楼层
."".&nbsp;&nbsp;&nbsp;&nbsp;."",
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;|&nbsp;&nbsp;|&nbsp;&nbsp;&nbsp;/&nbsp;&nbsp;/
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;|&nbsp;&nbsp;|&nbsp;&nbsp;/&nbsp;&nbsp;/
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;|&nbsp;&nbsp;|&nbsp;/&nbsp;&nbsp;/
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;|&nbsp;&nbsp;|/&nbsp;&nbsp;;-._
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;}&nbsp;&nbsp;~&nbsp;_/&nbsp;&nbsp;/&nbsp;;
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;|&nbsp;&nbsp;/~&nbsp;)&nbsp;/&nbsp;&nbsp;/
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;|&nbsp;/&nbsp;&nbsp;/_/\_/\
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;|/&nbsp;&nbsp;/&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;|
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;(&nbsp;&nbsp;'&nbsp;\&nbsp;'-&nbsp;&nbsp;|
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;\&nbsp;&nbsp;&nbsp;&nbsp;~.&nbsp;&nbsp;/
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;|&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;|

出0入0汤圆

发表于 2008-9-15 20:10:17 | 显示全部楼层
|et2000实物机,看了此屏的显示成色相当不错



&nbsp;(原文件名:et2000.JPG)&nbsp;

出0入0汤圆

 楼主| 发表于 2008-9-15 21:27:23 | 显示全部楼层
ATMEGA32&nbsp;&nbsp;8MHZ&nbsp;

TC2&nbsp;中断刷新(每秒40帧)&nbsp;

图片滚动显示(图片库&nbsp;tu.h&nbsp;)&nbsp;



完整文件(电路/代码..)

点击此处下载&nbsp;ourdev_418513.rar(文件大小:444K)&nbsp;(原文件名:LCD_EG7014.rar)&nbsp;

出0入0汤圆

发表于 2008-9-15 21:41:25 | 显示全部楼层
借问使用M8可以驱动吗?

出0入0汤圆

发表于 2008-9-15 21:43:59 | 显示全部楼层
EPSON的屏幕嘛,,效果当然,。。

出0入0汤圆

发表于 2008-9-16 17:53:04 | 显示全部楼层
每天都来顶一下,也不枉咱买的屏
哈哈

出0入0汤圆

 楼主| 发表于 2008-9-16 18:00:46 | 显示全部楼层
缺电路图不能置酷&nbsp;?

出0入0汤圆

 楼主| 发表于 2008-9-16 18:02:34 | 显示全部楼层
&nbsp;<font color=green>(原文件名:电路.JPG)&nbsp;

出0入0汤圆

发表于 2008-9-16 20:00:10 | 显示全部楼层
查了几天了,也没查到SED1335怎么接8位接口的lcd,正在努力找。。

出0入0汤圆

 楼主| 发表于 2008-9-16 20:09:15 | 显示全部楼层
通过那3个74系列逻辑电路转成8BIT的恩

出0入0汤圆

发表于 2008-9-16 20:18:39 | 显示全部楼层
这块屏真想用,必须要用1335驱动,,另外wangguanfu你说是通过那3个74系列逻辑电路转成8BIT,你有电路吗?

出0入0汤圆

 楼主| 发表于 2008-9-16 20:23:57 | 显示全部楼层
不全,测了一部分
我想做个STM32+CPLD+SRAM...或M128+SRAM++CPLD+SRAM的板+这屏&nbsp;&nbsp;

出0入0汤圆

发表于 2008-9-16 20:30:49 | 显示全部楼层
为什么不用1335,而要用CPLD呢。

出0入0汤圆

 楼主| 发表于 2008-9-16 23:03:29 | 显示全部楼层
&nbsp;<font color=green>(原文件名:74逻辑部分电路.JPG)&nbsp;

出0入0汤圆

 楼主| 发表于 2008-9-16 23:07:20 | 显示全部楼层
以上为实测出SED1335&nbsp;TO&nbsp;EG7014&nbsp;电路(可能有误)
为使用板载SED1335F做准备恩

出0入0汤圆

发表于 2008-9-16 23:07:29 | 显示全部楼层
CPLD不是所有人都会的啊!
1355,虽不会接电路,但驱动程序大家都懂啊! LZ,努力啊

出0入0汤圆

 楼主| 发表于 2008-9-16 23:16:19 | 显示全部楼层
SED1335不是按手册基本的电路接的,这个特殊恩,驱动程序未必好写恩(与众不同)

出0入0汤圆

 楼主| 发表于 2008-9-16 23:17:50 | 显示全部楼层
为了弄清电路已经牺牲一片这个主板了恩

出0入0汤圆

 楼主| 发表于 2008-9-17 07:34:08 | 显示全部楼层
大家各自测试出的电路都贴上来共同探讨探讨嘛

出0入0汤圆

发表于 2008-9-17 08:04:34 | 显示全部楼层
哈哈,还是有希望了,恭喜了

出0入0汤圆

发表于 2008-9-17 08:24:07 | 显示全部楼层
虽然还没有完全弄懂1335的电路,但总体进度还是前进的,,没有点难度怎么有意思,wangguanfu的电路使我们离成功又近了一步,,,SED1335是4位接口,液晶是8位,是不是利用D触发器做&nbsp;了一个分频器,把时钟信号分频,锁存数据后&nbsp;,4位的数据就变成8位的了。这2天就想到这么一个想法,供大家参考。

出0入0汤圆

发表于 2008-9-17 09:10:25 | 显示全部楼层
应该是这样,楼上的电路图有没有更新?

出0入0汤圆

发表于 2008-9-17 09:10:43 | 显示全部楼层
应该是这样,楼上的电路图有没有更新?

出0入0汤圆

发表于 2008-9-17 10:48:46 | 显示全部楼层
想法是想法,我给大家的电路图是完全正确的,,就是实际测量的连线,不存在猜疑的成分。这2天比较忙,今天回家在参考wangguanfu的图测量一下看看,

出0入0汤圆

 楼主| 发表于 2008-9-17 12:39:19 | 显示全部楼层
SED1335是4位接口,液晶是8位,确实是利用D触发器做&nbsp;了一个分频器,把时钟信号分频,锁存数据后&nbsp;,4位的数据就变成8位的了。
EG7014_XSCL_9上沿锁存4BIT,下沿EG7014接收当前1335输出的4BIT数据和前面锁存的数据
大伙一起想想怎样写驱动吧(显示的数据在怎样1335里怎样分配组织的0.....)

出0入0汤圆

发表于 2008-9-17 12:48:38 | 显示全部楼层
关键是硬件电路不能有错,软件建立条件是在硬件的基础上的。一定要把硬件确定下来以后才能编写软件,这个股票机比较有搞头。这才买来几天,,不急,慢慢嚼。起码硬件的电路确定后才能编软件啊///1335的驱动还是好写的,,关键是电路怎么连接,

出0入0汤圆

发表于 2008-9-17 17:41:58 | 显示全部楼层
下班了,再顶一下!

出0入0汤圆

发表于 2008-9-17 18:37:12 | 显示全部楼层
【35楼】&nbsp;wangguanfu&nbsp; 测绘辛苦了!图很有用<font color=#699bcd>本贴被 999999
编辑过,最后修改时间:2008-09-17,18:40:40.

出0入0汤圆

发表于 2008-9-17 18:39:18 | 显示全部楼层
U16是做什么用的呢?

出0入0汤圆

发表于 2008-9-17 19:16:23 | 显示全部楼层
&nbsp;<font color=green>(原文件名:QQ截图未命名.jpg)&nbsp;我也测了一下,得出上图的结论,我建议如果想要控制这个板子,可以把背面的原CPU除去(如果可能无损的去掉黑胶更好,可以用显微镜看看裸片是什么样),然后把系统ROM拔下来,在这上放置一个仿真插头,其余的少量片选信号和高位地址线单独连接,这样比较容易操作。

出0入0汤圆

 楼主| 发表于 2008-9-17 19:19:05 | 显示全部楼层
电源监控类的东东吧

出0入0汤圆

发表于 2008-9-17 19:28:53 | 显示全部楼层
&nbsp;<font color=green>(原文件名:QQ截图未命名.jpg)&nbsp;www.ironwoodelectronics.com/.../Process/smf.html
网上找到这样的接头,不知有没有卖的

出0入0汤圆

发表于 2008-9-17 19:38:37 | 显示全部楼层
999999,你说的那东西可不好买,自己做一个吧,,也不太难。

出0入0汤圆

 楼主| 发表于 2008-9-17 19:51:33 | 显示全部楼层
&nbsp;<font color=green>(原文件名:a.jpg)&nbsp;
&nbsp;<font color=green>(原文件名:b.jpg)&nbsp;
&nbsp;<font color=green>(原文件名:c.jpg)&nbsp;

出0入0汤圆

 楼主| 发表于 2008-9-17 20:01:18 | 显示全部楼层
真正的裸照.一般人我不给看的嘿嘿
&nbsp;<font color=green>(原文件名:x.jpg)&nbsp;

出0入0汤圆

发表于 2008-9-17 20:04:17 | 显示全部楼层
wangguanfu&nbsp;速度相当快啊,早都脱过看了!呵呵 用什么除去的黑胶?<font color=#699bcd>本贴被 999999
编辑过,最后修改时间:2008-09-17,20:06:12.

出0入0汤圆

 楼主| 发表于 2008-9-17 20:33:31 | 显示全部楼层
我觉得这东东只能拆件和学习,别的真没什么用恩

出0入0汤圆

 楼主| 发表于 2008-9-17 20:37:33 | 显示全部楼层
在这SED1335不用刻意去看4BIT&nbsp;TO&nbsp;8BIT的那部分电路在软件驱动上没什么差异的

出0入0汤圆

 楼主| 发表于 2008-9-17 20:43:33 | 显示全部楼层
做一块ATMEGA128+74AHC573+32KB(64KB)SRAM+SED1355+SD卡的板放进这个壳里看小说

出0入0汤圆

发表于 2008-9-17 20:57:29 | 显示全部楼层
同意“不用刻意去看4BIT&nbsp;TO&nbsp;8BIT的那部分电路在软件驱动上没什么差异的”,
50元就当买块屏了。我买他也是想学习,当电子书看的,,

出0入0汤圆

发表于 2008-9-17 20:58:04 | 显示全部楼层
wangguanfu&nbsp;速度相当快啊,早都脱过看了!呵呵&nbsp; 用什么除去的黑胶?
我也问同样的问题,。。

出0入0汤圆

 楼主| 发表于 2008-9-17 21:13:02 | 显示全部楼层
一只火焰是喷出来的打火机

出0入0汤圆

 楼主| 发表于 2008-9-17 22:19:16 | 显示全部楼层
1335的SRAM&nbsp;128K*BIT实际只用了32K,设计太浪费!!<font color=#699bcd>本贴被 wangguanfu
编辑过,最后修改时间:2008-09-17,23:28:23.

出0入0汤圆

发表于 2008-9-18 07:30:19 | 显示全部楼层
&gt;&gt;&nbsp;1335的SRAM&nbsp;128K*BIT实际只用了32K,设计太浪费!!
----- 嗯,1335只有64k的地址线,会不会分2页或多页呢?不过这种设计有利于减少进货和库存元件的品种

出0入0汤圆

发表于 2008-9-18 08:34:35 | 显示全部楼层
,会不会分2页或多页呢?-------------有可能
不过这种设计有利于减少进货和库存元件的品种&nbsp;------------基本没可能

出0入0汤圆

发表于 2008-9-18 09:11:19 | 显示全部楼层
我看了1335的手册,上边说好像也可以将双屏结构控制并列起来做成单排的。

出0入0汤圆

发表于 2008-9-18 09:11:51 | 显示全部楼层
就是英文咱看不懂。呵呵!

出0入0汤圆

发表于 2008-9-18 10:09:17 | 显示全部楼层
网站上有个中文液晶的电子书讲了1330,很详细。 不知谁一口气买了20多个,什么价格?

出0入0汤圆

发表于 2008-9-18 11:19:16 | 显示全部楼层
上点关于SED1335双屏幕的资料吧。 点击此处下载&nbsp;ourdev_421683.pdf(文件大小:127K)&nbsp;<font color=green>(原文件名:SED1330.pdf)&nbsp; 点击此处下载&nbsp;ourdev_421684.pdf(文件大小:724K)&nbsp;<font color=green>(原文件名:SED1330双屏-4.pdf)&nbsp; 点击此处下载&nbsp;ourdev_421685.pdf(文件大小:328K)&nbsp;<font color=green>(原文件名:SED1335-2.pdf)&nbsp; 点击此处下载&nbsp;ourdev_421686.pdf(文件大小:581K)&nbsp;<font color=green>(原文件名:SED1335-2双屏.pdf)&nbsp; 点击此处下载&nbsp;ourdev_421687.pdf(文件大小:687K)&nbsp;<font color=green>(原文件名:SED1335-3.pdf)&nbsp;

出0入0汤圆

 楼主| 发表于 2008-9-18 19:06:30 | 显示全部楼层
guanfu_wang&nbsp; wangguanfu<font color=#699bcd>本贴被 wangguanfu
编辑过,最后修改时间:2008-09-18,19:07:35.

出0入0汤圆

发表于 2008-9-18 19:24:15 | 显示全部楼层
厉害

出0入0汤圆

发表于 2008-9-18 20:18:17 | 显示全部楼层
71楼资料够全的了,下了看看,谢谢!

出0入0汤圆

 楼主| 发表于 2008-9-19 01:32:54 | 显示全部楼层
SDE1335f&nbsp;代码调试中
&nbsp;<font color=green>(原文件名:a.jpg)&nbsp;
&nbsp;<font color=green>(原文件名:b.jpg)&nbsp;
&nbsp;<font color=green>(原文件名:c.jpg)&nbsp;

出0入0汤圆

发表于 2008-9-19 03:28:16 | 显示全部楼层
wangguanfu期待成功,我的几个屏还在睡着,回头有空玩玩双机通讯,再说一次这个屏看了真舒服

出0入0汤圆

发表于 2008-9-22 19:57:36 | 显示全部楼层
机器今天到了支持你们研究呵呵

出0入0汤圆

发表于 2008-9-25 19:48:29 | 显示全部楼层
大家没忘记这个帖子吧
顶一下

出0入0汤圆

发表于 2008-9-25 19:48:30 | 显示全部楼层
大家没忘记这个帖子吧
顶一下

出0入0汤圆

发表于 2008-9-25 20:16:22 | 显示全部楼层

出0入0汤圆

 楼主| 发表于 2008-9-27 18:33:54 | 显示全部楼层
除了我那么多买了此物的朋友都没消息了?

出0入0汤圆

发表于 2008-9-27 18:36:21 | 显示全部楼层
没空玩这个,回头有空玩,

出0入0汤圆

发表于 2008-9-27 18:41:25 | 显示全部楼层
东西在手,不着急,有空慢慢玩。

出0入0汤圆

发表于 2008-9-27 19:04:02 | 显示全部楼层
最好能做块板。
买回来后就拆了一次,屏幕驱动已经证明是没问题了,关键是能干点什么了。

出0入0汤圆

 楼主| 发表于 2008-10-3 11:21:09 | 显示全部楼层
已经驱动SED1335成功了
按本坛子里的例子改一下初始参数加一句LCD_ON;语句就好了
&nbsp;<font color=green>(原文件名:未命名.JPG)&nbsp;

出0入0汤圆

发表于 2008-10-3 11:28:33 | 显示全部楼层
wangguanfu&nbsp; 1335驱动屏了吗?&nbsp;上张图让大家开开眼啊???

出0入0汤圆

 楼主| 发表于 2008-10-3 12:01:28 | 显示全部楼层
&nbsp;<font color=green>(原文件名:STP61710.JPG)&nbsp;
&nbsp;<font color=green>(原文件名:STP61714.JPG)&nbsp;
&nbsp;<font color=green>(原文件名:STP61722.JPG)&nbsp;
&nbsp;<font color=green>(原文件名:STP61724.JPG)&nbsp;
&nbsp;<font color=green>(原文件名:STP61726.JPG)&nbsp;
&nbsp;<font color=green>(原文件名:STP61727.JPG)&nbsp;
&nbsp;<font color=green>(原文件名:STP61728.JPG)&nbsp;<font color=#699bcd>本贴被 wangguanfu
编辑过,最后修改时间:2008-10-03,12:04:54.

出0入0汤圆

发表于 2008-10-3 12:15:57 | 显示全部楼层
高人啊,wangguanfu在这个活动中也是个“带头大哥”,还望1335驱动液晶的部分完全ok的时候,把资料整理一下,好造福广大网友,

出0入0汤圆

 楼主| 发表于 2008-10-3 12:27:56 | 显示全部楼层
#include&nbsp;&lt;avr/io.h&gt;
#include&nbsp;&lt;avr/interrupt.h&gt; //宏定义//
#define&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Uchar&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;unsigned&nbsp;char
#define&nbsp;&nbsp;Uint&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;unsigned&nbsp;int
#define&nbsp;&nbsp;Ulong&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;unsigned&nbsp;long
#define&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;paraP9&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;0x28&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;
#define&nbsp;&nbsp;BasePart1&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;0x00&nbsp;
#define&nbsp;&nbsp;BasePart2&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;0x40&nbsp;
#define&nbsp;&nbsp;BasePart3&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;0x80&nbsp;
#define&nbsp;&nbsp;BasePart4&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;0x00&nbsp;
#define&nbsp;&nbsp;SystemSet&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;0x40&nbsp;
#define&nbsp;&nbsp;Scroll&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;0x44&nbsp;
#define&nbsp;&nbsp;DispOn&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;0x59&nbsp;
#define&nbsp;&nbsp;DispOff&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;0x58&nbsp;
#define&nbsp;&nbsp;Ovlay&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;0x5b&nbsp;
#define&nbsp;&nbsp;HdotScr&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;0x5a&nbsp;
#define&nbsp;&nbsp;CsrForm&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;0x5d&nbsp;
#define&nbsp;&nbsp;CgramAdr&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;0x5c&nbsp;
#define&nbsp;&nbsp;CsrDirR&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;0x4C
#define&nbsp;&nbsp;CsrDirL&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;0x4d&nbsp;
#define&nbsp;&nbsp;CsrDirU&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;0x4e&nbsp;
#define&nbsp;&nbsp;CsrDirD&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;0x4f&nbsp;
#define&nbsp;&nbsp;CsrW&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;0x46&nbsp;
#define&nbsp;&nbsp;CsrR&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;0x47&nbsp;
#define&nbsp;&nbsp;mWrite&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;0x42&nbsp;
#define&nbsp;&nbsp;mRead&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;0x43&nbsp;
#define&nbsp;&nbsp;Sleep&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;0x53&nbsp;
#define&nbsp;&nbsp;Csrform&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;0x5d
#define&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;DOFF_L&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTA&amp;=~(1&lt;&lt;PA4)
#define&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;DOFF_H&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTA|=&nbsp;(1&lt;&lt;PA4)
#define&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;A0_L&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTA&amp;=~(1&lt;&lt;PA1)
#define&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;A0_H&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTA|=&nbsp;(1&lt;&lt;PA1)&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;
#define&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;CS_L&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTA&amp;=~(1&lt;&lt;PA0)
#define&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;CS_H&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTA|=&nbsp;(1&lt;&lt;PA0)&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;
#define&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;WR_L&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTA&amp;=~(1&lt;&lt;PA3)
#define&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;WR_H&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTA|=&nbsp;(1&lt;&lt;PA3)
#define&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;RD_H&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTA|=&nbsp;(1&lt;&lt;PA2)
#define&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;RD_L&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTA&amp;=~(1&lt;&lt;PA2)
#define&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;DATA_LCD&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTB
#define&nbsp;&nbsp;LcmRdataPort&nbsp;&nbsp;&nbsp;A0_H
#define&nbsp;&nbsp;LcmStatusPort&nbsp;&nbsp;A0_L
/*&nbsp;&nbsp;微秒级延时函数(mS)&nbsp;&nbsp;本延时函数实际为delay_us(int&nbsp;time)中的int&nbsp;time=1-&gt;实际等于2us&nbsp;*/
void&nbsp;delay_us(unsigned&nbsp;int&nbsp;time)
&nbsp;{&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; &nbsp;&nbsp;do
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;{
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;time--;
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;}&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;
&nbsp;&nbsp;while&nbsp;(time&gt;1);
&nbsp;}&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;
/*&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;毫秒级延时函数&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;*/&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;
void&nbsp;delay_ms(unsigned&nbsp;int&nbsp;time)
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;{
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;while(time!=0)
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;{&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;delay_us(500);
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;time--;
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;}
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;}
void&nbsp;sed1335_write_command(Uchar&nbsp;commandcode)&nbsp;//写命令
{&nbsp; A0_H;&nbsp; RD_H; WR_L; DATA_LCD=commandcode;
//delay_us(2);&nbsp; WR_H; }&nbsp;
void&nbsp;sed1335_write_data(Uchar&nbsp;data)&nbsp;//写数据
{&nbsp; A0_L; RD_H; WR_L; DATA_LCD=data;&nbsp;
//delay_us(2);&nbsp; WR_H; }&nbsp; //CPU初始化//
void&nbsp;SelfInit(&nbsp;void&nbsp;)&nbsp; {&nbsp;
DDRA&nbsp;&nbsp;=0xff; PORTA&nbsp;=0x00;
DDRB&nbsp;&nbsp;=0xff; PORTB&nbsp;=0x00;
DDRC&nbsp;&nbsp;=0xff;&nbsp;
PORTC&nbsp;=0x00;&nbsp;&nbsp;&nbsp;&nbsp;
DDRD&nbsp;&nbsp;=0xff;&nbsp; PORTD&nbsp;=0xff;&nbsp;
}&nbsp; //液晶初始化//
void&nbsp;LcmInition(&nbsp;void&nbsp;)&nbsp; {&nbsp;
Ulong&nbsp;&nbsp;&nbsp;i1;&nbsp;
sed1335_write_command(SystemSet);&nbsp;//初始化显示窗口设置
sed1335_write_data(0x30);&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;//
sed1335_write_data(0x87);&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;//
sed1335_write_data(0x07);&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;//
sed1335_write_data(0x50);&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;//有效显示窗口长度80字节*8=640
sed1335_write_data(0x60);&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;//LCD&nbsp;工作频率的时间常数
sed1335_write_data(0xc8);&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;//有效显示窗口行数200
sed1335_write_data(0x50);&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;//LCD&nbsp;的点行数80字节*8=640
sed1335_write_data(0x00);&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;
sed1335_write_command(Scroll);&nbsp;//设置显示区域卷动
sed1335_write_data(0x00);&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;//第1显示区的首地址SAD1_L
sed1335_write_data(0x00);&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;//第1显示区的首地址SAD1_H
sed1335_write_data(0xC8);&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;//显示屏上的点行数SL1
sed1335_write_data(0x00);&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;//第2显示区的首地址SAD2_L
sed1335_write_data(0x40);&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;//第2显示区的首地址SAD2_H
sed1335_write_data(0xC8);&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;//显示屏上的点行数SL2&nbsp;
sed1335_write_data(0x00);&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;//第3显示区的首地址SAD3_L&nbsp;
sed1335_write_data(0x80);&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;//第3显示区的首地址SAD3_H&nbsp;
sed1335_write_data(0x00);&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;//第4显示区的首地址SAD4_L&nbsp;
sed1335_write_data(0x00);&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;//第4显示区的首地址SAD4_H&nbsp;
sed1335_write_command(HdotScr);&nbsp;//以点为单位的显示画面水平移动量
sed1335_write_data(0x00);&nbsp;
sed1335_write_command(Ovlay);&nbsp;//定画面重叠显示的合成方式
sed1335_write_data(0B00011100);&nbsp;
sed1335_write_command(CsrDirR);&nbsp;//设置光标移动方向
sed1335_write_command(CsrW);&nbsp;//设置光标地址
sed1335_write_data(0x00);&nbsp; sed1335_write_data(0x00);
&nbsp; sed1335_write_command(mWrite);&nbsp;//数据写入显示缓冲区(缓冲区初始化)
i1=65536;&nbsp; while(i1--)&nbsp; {&nbsp;
&nbsp;&nbsp;&nbsp;sed1335_write_data(0x0F);&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;
} sed1335_write_command(DispOn);
sed1335_write_data(0B01010100);
DOFF_H;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; }
int&nbsp;main(void)&nbsp; {&nbsp;&nbsp;
&nbsp;&nbsp;&nbsp;&nbsp;Ulong&nbsp;&nbsp;&nbsp;i1;&nbsp;
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;SelfInit();&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;//CPU初始化
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;LcmInition();&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;//液晶初始化
&nbsp;&nbsp;&nbsp;while(1) &nbsp;&nbsp;&nbsp;&nbsp;{
&nbsp;
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;} }&nbsp;&nbsp;

出0入0汤圆

 楼主| 发表于 2008-10-3 12:31:17 | 显示全部楼层
tage&nbsp;
兄过讲恩 电路我就不贴了(主要是没画出来)_&nbsp; 不过从这可看出来
#define&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;DOFF_L&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTA&amp;=~(1&lt;&lt;PA4)&nbsp;
#define&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;DOFF_H&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTA|=&nbsp;(1&lt;&lt;PA4)&nbsp;
#define&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;A0_L&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTA&amp;=~(1&lt;&lt;PA1)&nbsp;
#define&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;A0_H&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTA|=&nbsp;(1&lt;&lt;PA1)&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;
#define&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;CS_L&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTA&amp;=~(1&lt;&lt;PA0)&nbsp;
#define&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;CS_H&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTA|=&nbsp;(1&lt;&lt;PA0)&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;
#define&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;WR_L&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTA&amp;=~(1&lt;&lt;PA3)&nbsp;
#define&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;WR_H&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTA|=&nbsp;(1&lt;&lt;PA3)&nbsp;
#define&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;RD_H&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTA|=&nbsp;(1&lt;&lt;PA2)&nbsp;
#define&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;RD_L&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTA&amp;=~(1&lt;&lt;PA2)&nbsp;
#define&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;DATA_LCD&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTB&nbsp;

出0入0汤圆

发表于 2008-10-3 12:39:51 | 显示全部楼层
这么cool的贴,没顶上当

出0入0汤圆

 楼主| 发表于 2008-10-3 15:04:51 | 显示全部楼层
已经可显示汉字恩
&nbsp;<font color=green>(原文件名:STP61729.JPG)&nbsp;
&nbsp;<font color=green>(原文件名:STP61731.JPG)&nbsp;<font color=#699bcd>本贴被 wangguanfu
编辑过,最后修改时间:2008-10-03,15:06:14.

出0入0汤圆

 楼主| 发表于 2008-10-3 15:28:35 | 显示全部楼层
void&nbsp;hanzi_writi(unsigned&nbsp;char&nbsp;hanzi,unsigned&nbsp;char&nbsp;y&nbsp;,unsigned&nbsp;char&nbsp;x)//汉字显示函数(Y=0---184,X=0-----78)
{ &nbsp;&nbsp;&nbsp;unsigned&nbsp;int&nbsp;&nbsp;s,i=0;
&nbsp;&nbsp;&nbsp;unsigned&nbsp;char&nbsp;ah=0,al=0,k=0;
&nbsp;&nbsp;&nbsp;i=y*80+x;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;//根据X,Y坐标计算光标地址
&nbsp;&nbsp;&nbsp;ah=(unsigned&nbsp;char)(i&gt;&gt;8);
&nbsp;&nbsp;&nbsp;al=(unsigned&nbsp;char)i;
&nbsp;&nbsp;&nbsp;sed1335_write_command(CsrDirD);&nbsp;//设置光标移动方向
&nbsp;&nbsp;&nbsp;sed1335_write_command(CsrW);&nbsp;&nbsp;&nbsp;//设置光标地址
&nbsp;&nbsp;&nbsp;sed1335_write_data(al);&nbsp;
&nbsp;&nbsp;&nbsp;sed1335_write_data(ah);
&nbsp;&nbsp;&nbsp;sed1335_write_command(mWrite);&nbsp;//数据写入显示缓冲区
&nbsp;&nbsp;&nbsp;s=16;
&nbsp;&nbsp;&nbsp;while(s--){&nbsp;sed1335_write_data(pgm_read_byte(&nbsp;&amp;hanzi_a[hanzi][k++]));}
&nbsp;&nbsp;&nbsp;sed1335_write_command(CsrDirD);&nbsp;//设置光标移动方向
&nbsp;&nbsp;&nbsp;sed1335_write_command(CsrW);&nbsp;&nbsp;&nbsp;&nbsp;//设置光标地址
&nbsp;&nbsp;&nbsp;sed1335_write_data(al+1);&nbsp;
&nbsp;&nbsp;&nbsp;sed1335_write_data(ah);&nbsp;&nbsp;
&nbsp;&nbsp;&nbsp;sed1335_write_command(mWrite);&nbsp;//数据写入显示缓冲区
&nbsp;&nbsp;&nbsp;s=16;
&nbsp;&nbsp;&nbsp;while(s--){&nbsp;sed1335_write_data(pgm_read_byte(&nbsp;&amp;hanzi_a[hanzi][k++]));}&nbsp;&nbsp;
}
&nbsp;<font color=green>(原文件名:STP61733.JPG)&nbsp;
&nbsp;<font color=green>(原文件名:STP61735.JPG)&nbsp;<font color=#699bcd>本贴被 wangguanfu
编辑过,最后修改时间:2008-10-03,15:34:03.

出0入0汤圆

发表于 2008-10-4 21:37:29 | 显示全部楼层
敢问楼上,你是不是用AVR驱动1335&nbsp;?

出0入0汤圆

发表于 2008-10-4 22:28:00 | 显示全部楼层
cool&nbsp;,请问电路中2N7002是不是用做非门作用。。用三极管可以不?

出0入0汤圆

发表于 2008-10-4 22:32:45 | 显示全部楼层
恭喜!

出0入0汤圆

 楼主| 发表于 2008-10-5 02:37:09 | 显示全部楼层
我用ATMEGA32(AVR_MCU)驱动SED1335F的 现在已经编写好汉字/字母/画线(横竖)的函数了
SED1335F开启为64K显存后驱动EG7014,那个单屏三重合成显示不是一般的爽
95楼:建议还是用原电路(不稳定&nbsp;)

出0入0汤圆

发表于 2008-10-31 19:24:54 | 显示全部楼层
敢问楼上用的是什么编译器!我在GCC中已经将IO口线变更。怎么驱动不起来!
PC2==lcdon PC1==RD PD6==WR PC6=CS
PC7==A0 PA==DATA

出0入0汤圆

发表于 2008-10-31 19:25:11 | 显示全部楼层
敢问楼上用的是什么编译器!我在GCC中已经将IO口线变更。怎么驱动不起来!
PC2==lcdon PC1==RD PD6==WR PC6=CS
PC7==A0 PA==DATA

出0入0汤圆

 楼主| 发表于 2008-10-31 19:37:57 | 显示全部楼层
楼上你的PA==DATA&nbsp;.....,可以这样? 我用的是WinGCC

出0入0汤圆

发表于 2009-6-23 01:12:36 | 显示全部楼层
好东西

出0入0汤圆

发表于 2009-6-23 12:33:47 | 显示全部楼层
mark
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-5-3 06:45

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表