搜索
bottom↓
回复: 111

[原创]M48V驱动LQ043T3DX05液晶显示单行/单屏颜色.2S一次8色循环

[复制链接]

出0入0汤圆

发表于 2007-10-12 20:40:10 | 显示全部楼层 |阅读模式
LQ043T3DX0*网上很多资料了,就不介绍了.

原理图图片:


原理图文件(后缀改成.sch,protel99se格式):
点击此处下载ourdev_176291.rar(文件大小:34K)

下面是源程序:

/*
LQ043T3DX01/02/05 TFT-LCD driver for test
*/

#include <ioavr.h>
#include <intrinsics.h>
#include <comp_a90.h>

#include "TFT-LCD Driver(V10).h"
#include "Iar_icc_def.h"

#define                LCD_SW_STATE        (PIND & (1<<PD5))

//lcd
#define                DISP_OUT        DDRC  |=  (1<<PC2)
#define                DISP_1                PORTC |=  (1<<PC2)
#define                DISP_0        PORTC &= ~(1<<PC2)

#define                R_OUT                DDRC  |=  (1<<PC5)
#define                R_1                        PORTC |=  (1<<PC5)
#define                R_0                        PORTC &= ~(1<<PC5)

#define                G_OUT                DDRC  |=  (1<<PC4)
#define                G_1                        PORTC |=  (1<<PC4)
#define                G_0                        PORTC &= ~(1<<PC4)

#define                B_OUT                DDRC  |=  (1<<PC3)
#define                B_1                        PORTC |=  (1<<PC3)
#define                B_0                        PORTC &= ~(1<<PC3)

#define                VSYNC_OUT        DDRC  |=  (1<<PC0)
#define                VSYNC_1                PORTC |=  (1<<PC0)
#define                VSYNC_0                PORTC &= ~(1<<PC0)

#define                HSYNC_OUT        DDRC  |=  (1<<PC1)
#define                HSYNC_1                PORTC |=  (1<<PC1)
#define                HSYNC_0                PORTC &= ~(1<<PC1)

#define                CK_OUT                DDRB  |=  (1<<PB1)
#define                CK_1                PORTB  =   k
#define                CK_0                PORTB  =   p

#define                VDD_EN_OUT        DDRD  |=  (1<<PD7)
#define                VDD_EN_1        PORTD |=  (1<<PD7)
#define                VDD_EN_0        PORTD &= ~(1<<PD7)

#define                CK_10                {CK_1;CK_0;CK_1;CK_0;CK_1;CK_0;CK_1;CK_0;CK_1;CK_0;CK_1;CK_0;CK_1;CK_0;CK_1;CK_0;CK_1;CK_0;CK_1;CK_0;}
#define                CK_100                {CK_10;CK_10;CK_10;CK_10;CK_10;CK_10;CK_10;CK_10;CK_10;CK_10;}


#define                LINE_1                {                                                                \
                                                /*行同步信号(41 ck)*/                         \
                                                HSYNC_0;                                                \
                                                CK_10; CK_10; CK_10; CK_10;                \
                                                CK_1;CK_0;                                                 \
                                                HSYNC_1;                                                 \
                                                                                                                \
                                                /*2ck*/                                                 \
                                                CK_1;CK_0;                                                 \
                                                CK_1;CK_0;                                                 \
                                                                                                                \
                                                /*480ck*/                                                \
                                                CK_100; CK_100; CK_100; CK_100; \
                                                CK_10; CK_10; CK_10; CK_10; CK_10; CK_10; CK_10; CK_10; \
                                                                                                                \
                                                /*2ck*/                                                        \
                                                CK_1;CK_0;                                                 \
                                                CK_1;CK_0; }


void main(void){
        static unsigned int i = 0;
        static unsigned int j = 0;        //换色
        unsigned char register p,k;
       
        p = 0;
        k = (1<<PB1);
       
        PORTD |= (1<<PD5);        //lcd on/off
        DDRD  |= (1<<PD6);        //blpwm
        DDRD  |= (1<<PD4);        //LED
       
        DISP_OUT; DISP_0;
        CK_OUT; CK_0;
        VSYNC_OUT; VSYNC_0;
        HSYNC_OUT; HSYNC_0;
        R_OUT; R_0;
        G_OUT; G_0;
        B_OUT; B_0;
       
        VDD_EN_OUT; VDD_EN_1;                //vdd on
       
        __delay_cycles(1000000);        //55ms for 18.4320MHz
       
        R_1;
       
        while(1){
               
                //列同步
                if(i == 0)
                        VSYNC_0;
                if(i == 10)
                        VSYNC_1;
               
                LINE_1;
               
                i++;
                if(i >= 286){
                        i = 0;
                       
                        if(LCD_SW_STATE == 0)
                                DISP_1;        //disp on
                        else
                                DISP_0;        //disp off
                       
                        j++;
                        if((j%8)==0)
                                PORTD ^= (1<<PD4);        //run led
                       
                        if(j == 120){        //Black
                                R_0;
                                G_0;
                                B_0;
                        }
                        if(j == 240){        //Red
                                R_1;
                                G_0;
                                B_0;
                        }
                        if(j == 360){        //Green
                                R_0;
                                G_1;
                                B_0;
                        }
                        if(j == 480){        //Blue
                                R_0;
                                G_0;
                                B_1;
                        }
                        if(j == 600){        //Cyan
                                R_0;
                                G_1;
                                B_1;
                        }
                        if(j == 720){        //Magenta
                                R_1;
                                G_0;
                                B_1;
                        }
                        if(j == 840){        //Yellow
                                R_1;
                                G_1;
                                B_0;
                        }
                        if(j >= 960){        //White
                                R_1;
                                G_1;
                                B_1;
                                j=0;
                        }
                }
        }
}

IAR AVR 4.21A开发环境.JTAG MKII开发工具调试.优化设置Low
(1.程序写好根本就没调试,一次点亮;
2.网页上看程序有点乱,也对不齐,拷贝到IAR下就好了;
3.Iar_Icc_Def.h里面就是些简单的对应关系,比如CLI();什么的.ICC这点很方便).


这里要说明一下:
1.有人会问PB口为什么只用PB1??因为CK需要提供9MHZ时钟,而MCU的晶振为18.4320MHZ.所以单独分配了PORTB给CK用,包括优化设置,还有用了很多的"CK_1;CK_0;"的宏定义都是为了使编译出的汇编对应为连续的:
CK_1;-->OUT,PROTB,xxx
CK_0;-->OUT,PROTB,xxx
那这样CK一改变整个PB口都会受到影响,所以别的就没有分配,如此可以在PB1得到一个2分频率的时钟信号(很多人对此不认可,以为很容易得到2分频率信号).

2.LCD的逻辑电平为0-VCC(2.5V).所以用了470R+470R分压的方式,刚好可以保证5V信号变成2.5V逻辑信号.还有选470R的目的是尽可能减少传输损耗,太大会变成RC充放电积分电路.

3.BLPWM是为了实现MCU调整背光亮度,当前没做.

4.有点很奇怪,背光在21V时电流就达到了20mA.和资料上不太一样,也许是资料是"X02"的,而LCD是"X05"的原因.


说明:不知道该类DIY对大家有没有帮助,很多人会说这没意义,确实是,最多是个彩色LED,但做技术很多时候都是兴趣,爱好.结果不是那么重要.

最后希望站长能给我加个分或者来个cool就最爽了.

下一贴会上传写照片.

出0入0汤圆

 楼主| 发表于 2007-10-12 20:51:42 | 显示全部楼层
下面是一些图片,LCD单屏循环显示"黑","纯红","纯绿","纯蓝","青","紫","黄","白".和小俊一样"手机拍的,光线不好手有些陡"









不晓得怎么搞的,飞线板的照片找不到了,有人要看的话下次传

出0入0汤圆

发表于 2007-10-12 21:48:35 | 显示全部楼层
牛呀,佩服呀,楼主好厉害呀,明天拿我看看,不然砸屏呀

出0入0汤圆

发表于 2007-10-12 22:38:33 | 显示全部楼层
不太懂为什么一定要用avr来驱动这样需要9M时钟的屏,好玩在哪里?好神奇吗?

出0入0汤圆

 楼主| 发表于 2007-10-12 23:08:49 | 显示全部楼层
【3楼】 apple888882000 :等你点亮了就知道了

还有我把,R0-R7,G0-G7,B0-B7分别连1个IO是因为IO不够,如果是分开的还可以产生很多颜色.
我是为了测试LCD有无坏点,所以只需要三基色.

出0入0汤圆

发表于 2007-10-12 23:25:34 | 显示全部楼层
好东西啊,楼主,我等你这个等了很久咯

出0入0汤圆

发表于 2007-10-12 23:27:43 | 显示全部楼层
不是说用arm或fpga来驱动吗??用avr驱动效果如何???

出0入0汤圆

 楼主| 发表于 2007-10-13 00:13:02 | 显示全部楼层
【5/6楼】 mtheory :等来做什么?我没说用ARM/FPGA吧?AVR就是上面的效果了,最多可以单行一个颜色(颜色可以在16777216中任选一种)
这样刷新率会有所下降


飞线可把我飞苦了,0.5mm的Gap,飞线用的是软线去皮后的单根铜丝.因为GAP太小,就想把双数脚往上扳点错位变成双排方便焊接,结果坏一个FPC.

温度过高又烫坏一个FPC. 没有40P的FPC,用了50P的,靠边插空了最后10个脚;
4P的也没有,用了5P把两边相临短路.中间悬空.

飞了3晚上.还好,没什么大错,其中AVDD有次搞成3.3V了,发现屏幕很多雪花.改后颜色很鲜艳,细腻(可能和我用了钽电容有关吧).没发现坏点,190块钱,值!!!

出0入0汤圆

发表于 2007-10-13 12:06:35 | 显示全部楼层
顶一下,我用CPLD写了个简单的程序,防真了下,应该没什么问题了,因为刚学CPLD也不知道对不对,这几天试下,昨天屏不小心被我接错了电压,5V的AVDD,我给接成6V或更高!很担心,害怕烧了~

还有
改后颜色很鲜艳,细腻(可能和我用了钽电容有关吧)
这是为什么?DX能介绍下吗?
钽电容有什么特点?
我电路上也全是钽电容,我用它们只是因为它们是贴片的~

出0入0汤圆

 楼主| 发表于 2007-10-13 13:54:35 | 显示全部楼层
【8楼】 ppa2001 :
因为方便测试,24V的DC/DC是挂在5V上的,而5V是取至于T21的USB.

34063做升压效率不是很高,对5V产生了很多干扰信号,实测>300mV p-p.稳压后的2.5V,控制后的AVDD也分别达到了130mV,180mV左右.我用钽电容后发现纹小不少.颜色不抖.

我想VCC是为内部的移位寄存器和相关逻辑电路供电的,而AVDD是给TFT MOS管及LCD偏压供电的,也许纹波大有影响吧.

出0入0汤圆

发表于 2007-10-13 16:26:16 | 显示全部楼层
哦,这样哈,我也用的34063,到没想到这个问题,不过我也加了一些钽电容,另外我用的是3.3V电压,应该就没这个问题了把~

刚回去把CPLD的板子搞好,试了下,总算是可以用了,
不过时序有问题,有时屏没反映,要多次上电才可以!,不知道是不是DISP开的太早了,我几乎是上电,DISP就是高,\\很麻烦,希望有知道的DX指点下
本想显示8中颜色竖条的,但时序没搞好,开始的前几行是对的,后面就乱了,成斜线移动了~晚上在研究下,不算大的问题,
不过,总算是有点会CPLD了~

出50入0汤圆

发表于 2007-10-13 16:47:41 | 显示全部楼层
to;ppa2001
可以发点清晰的图片么?

出0入0汤圆

发表于 2007-10-13 17:30:50 | 显示全部楼层
to【11楼】 cddyy
液晶图象显示是运动的,我拍不好的,相机也是借人的,这是没有压缩过的图片:
点击此处下载ourdev_176443.rar(文件大小:3.35M)

出50入0汤圆

发表于 2007-10-13 18:04:41 | 显示全部楼层
是你自己焊接的吗?只用一片RAM,可以随时读写RAM中的数据吗?

出0入0汤圆

发表于 2007-10-13 18:09:24 | 显示全部楼层
是我焊的,那个RAM现在还不能用,我计划用CPLD+RAM做个TFT控制器,但才开始学CPLD,现在还啥都不会呢~

出0入0汤圆

发表于 2007-10-13 18:32:58 | 显示全部楼层
to ppa2001:
       好强啊,呵呵!

出50入0汤圆

发表于 2007-10-13 18:33:44 | 显示全部楼层
呵呵,我现在也在用EPM240做东西,买了个转换板用万能板飞的,

出0入4汤圆

发表于 2007-10-13 18:44:18 | 显示全部楼层
我早就打算做这个 一直没时间,其他的事情还没做完
也是用CPLD+RAM

但是有一个问题还没搞清楚 RAM的读写,CPLD负责从RAM读数据 然后在TFT上显示,那么单片机怎么修改RAM的数据,
我猜想是单片机通过CPLD写RAm数据,但是怎么协调WR 和RD  是哪个优先级别高呢 怎么处理他们两的关系


我认为WR优先级高与RD,这样单片机如果写WR的数据,那么RD必须禁止,这样扫描TFT就停下来了,这样会不会影响TFT的显示

出0入0汤圆

 楼主| 发表于 2007-10-13 19:04:50 | 显示全部楼层
【10楼】 ppa2001 :
照datasheet上的时序看

1.AVDD必须滞后于VCC;

2.AVDD上电后50ms才能控制CK,HSYNC,VSYNC,RGB信号;

3.而DISP_ON比如比这些逻辑信号晚0.5ms(应该没记错).

另外ppa2001,CPLD是什么型号?EPM240吗?空板有没有多的?

出0入0汤圆

发表于 2007-10-14 10:43:26 | 显示全部楼层
强啊~!

出0入0汤圆

发表于 2007-10-14 12:04:39 | 显示全部楼层
昨晚弄了下,发现有时要多次上电才有显示的问题应该是 AVDD必须滞后于VCC 我最后用别的板引来3.3V和5V,用手分别给VDD和AVDD供电,屏不显示的现象少了很多,真晕,当初就没考虑到供电还有先后的问题 ~不知道有什么好的延时供电的方法~
现在改了下,图象静止不动了,但还是错位,不知道是不是表示帧扫描对了,行扫描不对?

to 【17楼】 ilan2003 小松工程
我是这么想的,以54M速度读写RAM,开机就以54M读RAM一次读3次.正好是两个24位数,放入数据寄存器,在以9M的速度发给TFT,停止读RAM.直到
数据寄存器为空~
如果有数据写入,我做个输入引脚.外面单片机写入16位数据,这个引脚置高.写完引脚置底,下降延CPLD读入数据,并停止读RAM,写入RAM数据,因为都是54M下的,而且数据寄存器可能还有数据,应该不会影响到TFT显示把?
单片机读数据先不考虑~
我刚搞CPLD.很多不懂.你看这样行吗?逻辑上有没有什么问题?我用的是570,够完成这些功能吗?谢谢了!

to 【18楼】 Oliver
谢谢楼主的提醒,最后问题因该是 AVDD必须滞后于VCC 导致的,还有楼主的原理图文件还象有问题,下载后不能打开,找不到压缩包~
芯片是EPM570,本来买的是240,但老板错给我了570,我也没注意,过段时间,焊好检查,发现电脑检测是570.才知道还有570这个芯片~
发现MAX 2 就是满好的,不知道是芯片功率很低,还是我电脑并口电流大,我用下载线下载从来不用接电源的,很方便~
你好.本来是有空板的,我一共做了4个,但由于质量不是太好,有一个在焊的时候,焊盘脱落了~
还有两个我焊好后,我看引脚有些歪,想用电烙铁把芯片引脚搞正,结果越搞越遭~就废了,后悔哈!

出0入0汤圆

 楼主| 发表于 2007-10-14 12:53:15 | 显示全部楼层
【17楼】 ilan2003 小松工程,
【20楼】 ppa2001 :
小俊有个贴子里和我的对话很清楚,可以搜搜看.

应该是CPLD一次高速读出几十字节显示数据到寄存器,之后停止操作RAM,以LCD要求的速度慢慢刷新LCD.

如果外部有写RAM的数据,也是先写到CPLD的寄存器,既然数据都在CPLD里面,就可以知道RAM什么时候无操作,也就可以写入数据.因为CPLD读写RAM的速度都远远比LCD刷新和外部MCU写RAM快很多.也就没问题了,CPLD统一协调.

【20楼】 ppa2001 :
呵呵,那个不是压缩文件,直接改后缀名就可以了.AVDD我是通过三极管控制的.
看看我的控制时序和电路设计吧,虽然只是个简单控制,但还是完全符合标准的.DIP_ON信号也必须滞后.

出0入0汤圆

发表于 2007-10-14 20:44:42 | 显示全部楼层
谢谢楼主了,我还想问个问题,如果把3.3直接串电阻接到VDD-EN,由于三极管导通要时间,是不是可以延时?\
还有我昨晚用两个PNP管(9018)达的电路不行,没有5V电压,不知道什么原因

C级测量没有5V电压
这和楼主的电路(NPN+PNP)有什么区别吗?我感觉虽然管子不一样,但不都可以导通哈!
还有楼主的三极管好买不?我基本上没怎么用过三极管了,昨晚找了半天,才找到9018,用8050和8550可以代替楼主的管子不?我就知道这几个三极管~

出0入0汤圆

 楼主| 发表于 2007-10-14 21:30:42 | 显示全部楼层
【22楼】 ppa2001:
"如果把3.3直接串电阻接到VDD-EN,由于三极管导通要时间,是不是可以延时?\ "

不行,这个速度起码是us级别,而LCD需要的是ms级.可以用2个电阻相串后接到Vcc(因为AVDD是要滞后于它而不是3.3V),串连点对地接个电容可以延时(注:不能使用我的电路了,FP1A4M是带内阻的三极管,你需要用9013什么的).


"还有我昨晚用两个PNP管(9018)达的电路不行"

首先9018是NPN不是PNP,其次你的电路错了,接地的管子要换成NPN型(参考我的电路,FP1A4M,PB1A4M都是带内阻的管子),即使不换成NPN那控制也不是接高电平,就算它导通也会出问题,因为你没有限流电阻.

8050,8550可以代替我的管子,我的管子好买,但起定都是几盘,还是用9013,9012,8550,8050比较爽.其实用一个PNP管子就完全可以控制的,只是我考虑MCU电压有可能低于5V,所以用了2个管子倒.

出0入0汤圆

发表于 2007-10-15 10:18:26 | 显示全部楼层
哦,学习了,谢谢楼主了,今天去找些三极管做实验~昨晚又搞了下时序,终于搞好了,现在屏可以整齐的显示竖直的彩条了~

出0入0汤圆

发表于 2007-10-15 13:44:37 | 显示全部楼层
ppa2001  你上面的两个三级管的电路,估计还工作在截止区,下面的管子用个9013,串个限流电阻应该可以了

出0入0汤圆

 楼主| 发表于 2007-10-15 15:55:23 | 显示全部楼层
【24楼】 ppa2001 :
你用CPLD控制好了,这是最佳办法,电容是可以延时,但上电曲线就不陡峭了(LCD除对时序有要求还对上电斜率有些讲究,datasheet里面有个图和相关讲解,还有掉电时序也是有要求的,不过可能很多人都会偷这个懒).

出0入0汤圆

发表于 2007-10-15 17:44:47 | 显示全部楼层
重做了下电源的电路.CPLD在PSP工作前又加了长延时,现在已经没什么问题了,上电即可显示~谢谢了!

没有压缩的图片:点击此处打开ourdev_176963.JPG(文件大小:2.86M,只有300K以内的图片才能直接显示)

出0入0汤圆

 楼主| 发表于 2007-10-15 20:38:10 | 显示全部楼层
【27楼】 ppa2001 :
可否上传原理图,PCB(不是图片),和CPLD程序啊

出0入0汤圆

发表于 2007-10-15 21:52:33 | 显示全部楼层
供电先后的问题在PDF说明书里写的很明白,应该看一下说明书,如果非得使用cpld+sram,可参考ekm-chan.org,里面有个xilinx cpld +sram做的320*240*4bits视频显示,基本结构是一样的。

出0入0汤圆

发表于 2007-10-16 18:42:28 | 显示全部楼层
http://elm-chan.org/works/crtc/report.html

出0入0汤圆

 楼主| 发表于 2007-10-28 10:36:11 | 显示全部楼层


出0入0汤圆

发表于 2007-10-28 20:10:28 | 显示全部楼层
to Oliver
不好意思,我今天才看到,不过你都做出来了,~你还要吗?我现在没有带~板子做的不怎么好,我是在完全不了解CPLD的情况下做的板,现在发现有些问题,结果导致我写程序回麻烦些~郁闷~现在还在搞时序~理论上写RAM的程序写完了,但自己懂的不多,有很多问题还要解决~头昏
TO apple888882000
谢谢了~

出0入0汤圆

 楼主| 发表于 2007-10-28 21:14:02 | 显示全部楼层
【32楼】 ppa2001:
那你可以先上传SCH? 也方便大家参考一下,虽然是你第一次CPLD作品,但也会有很多亮点的啊.

我还是用M48驱动的.一屏幕8颜色切换后刷新率54HZ

出0入0汤圆

发表于 2007-10-28 21:50:08 | 显示全部楼层
Oliver你好,你的液晶背光的接插件从哪找到的?淘宝还是当地买的?我找了好久也没找到,谢谢!

出0入0汤圆

 楼主| 发表于 2007-10-28 22:03:31 | 显示全部楼层
我是当地买的,当时为了点亮LQ035......的LCD买了一种50Pin和一种5Pin的,现在用5PIN的凑和用的,把1,2短路,4,5短路,这样就算歪一点也能接触上.

出0入0汤圆

发表于 2007-10-29 21:28:46 | 显示全部楼层
这是PSP的SCH.不过,我打算从做个板子,换成144的EPM570,这样就不会有复用的口了,程序也回简单些
点击此处下载ourdev_178983.rar(文件大小:9K)

出0入0汤圆

 楼主| 发表于 2007-10-29 22:35:57 | 显示全部楼层
100Pin的还不够?80个IO呢.应该够了吧.我先看看你的IO分配啊,学习学习

出0入0汤圆

 楼主| 发表于 2007-10-29 23:28:23 | 显示全部楼层
【36楼】 ppa2001 :
看了你的资源分配,确实是有待于改进,你把RAM的数据线和LCD的R,G复用了,而它们很大程度上是要并行快速处理的.如此必定导致效率成倍下降.

出0入0汤圆

发表于 2007-11-10 22:55:15 | 显示全部楼层
不知哪位直接用S3C24x0系列直接驱动这个屏过吗?

出0入0汤圆

 楼主| 发表于 2007-11-10 23:26:07 | 显示全部楼层
应该很容易驱动,可能颜色上要放低些,18位应该可以.寄存器设置设置就可以了,比CPLD驱动要简单N倍

出0入0汤圆

发表于 2007-11-11 10:42:12 | 显示全部楼层
我在S3C2440上弄几天了还没点成功。

出0入0汤圆

发表于 2007-11-11 13:48:49 | 显示全部楼层
新的板子搞好了.144脚的CPLD比100脚的程序果然简单多了~不过还是有些逻辑混乱~现在读写RAM应该没问题,我测试时连续写入红,蓝两个点,(CPLD自己内部写入RAM,)在读出RAM,应该显示红蓝竖线,但现在显示的也好象是对,又好象不对,好象是红蓝黑三个竖条~也不知道对不对,看晕了.但连续写入两个红色,就是全屏红色~没有黑色,现在看屏的颜色,眼睛都昏了,过几天我搞好转接板,接MCU发数据在调试下~
还有没颜色写入RAM时,正常情况下应该是花屏(读入RAM内的随机数据).现在我的也是花屏.但花点在动,不是静止的~象以前的黑白电视,没信号时的样子,时序应该还有问题的~

出0入0汤圆

发表于 2007-11-11 15:05:31 | 显示全部楼层
楼上的期待你的消息.

出0入0汤圆

发表于 2007-11-11 19:07:45 | 显示全部楼层
这是PCB图:


感觉时序上问题很难调,有些不知道怎么搞~周二在用MCU调试下~

出0入0汤圆

发表于 2007-11-11 23:21:53 | 显示全部楼层
这个屏我有AU1200和2410驱动他的SCH,还有AVR32驱动它,需要的话给我邮件 333mmd@163.com

出0入0汤圆

 楼主| 发表于 2007-11-12 00:35:15 | 显示全部楼层
【44楼】 ppa2001 :

动作好快啊,有可能给我留个空板啊,谢谢啊.我这些天才刚把软件真利索.

出0入0汤圆

发表于 2007-11-12 00:48:45 | 显示全部楼层
to Oliver
好的,没问题的

出0入0汤圆

发表于 2007-11-12 09:22:48 | 显示全部楼层
这个是PSP的屏? 哪买的?

出0入0汤圆

 楼主| 发表于 2007-11-12 09:44:39 | 显示全部楼层
【48楼】 ppa2001:

谢谢.回头我联系你,怎么联系呢?刚好我昨天买了一些IC.

出0入0汤圆

 楼主| 发表于 2007-11-12 09:56:46 | 显示全部楼层
【49楼】 kimiyang 四叶草:
PSP屏,taobao 上买的,190全新

出0入0汤圆

发表于 2007-11-12 10:16:28 | 显示全部楼层
190不可能是全新的.

出0入0汤圆

 楼主| 发表于 2007-11-12 11:03:59 | 显示全部楼层
看了,确实是全新的啊,没用过的.至于190可不可能也说不清楚

出0入0汤圆

发表于 2007-11-12 13:06:44 | 显示全部楼层
我在深圳华强3楼140元买,还是挺新的,还可以测试,没坏点才要的。
后来听深圳的一个朋友说他们在日本买了1批回来,才60元。做GPS导航的。

出0入0汤圆

发表于 2007-11-12 17:24:53 | 显示全部楼层
我的信箱是ppa2001@163.com~今天发现了个大错误,改了反而不好显示了,无数据时的颜色点总是乱动,不能静止下来~看了防真觉得没问题~晕

出0入0汤圆

发表于 2007-11-12 18:14:01 | 显示全部楼层
楼主,PPA2001,你们知道你们现在做的这个东西的市场价值吗???!!!
现在在液晶行业里面有一个工艺叫“点灯测试”,就是在液晶屏生产的流程里面夹进去这样的工艺,防止不合格的产品流到下面的工程。
这样的电子设备目前行业里面几乎都是进口,FPGA+CPLD,IO接口好变动,要是能根据各种规格做出各种各样的电板,关键是要低价格!
那是很有前途的哟。。。
有空交流交流,QQ:12761450

出0入0汤圆

 楼主| 发表于 2007-11-12 18:36:11 | 显示全部楼层
【54楼】 taoriran :
60???不是吧,要是能搞点邮购就爽了.^_^

【55楼】 ppa2001:
我晚些时候给你发mail,现在刚下班肚子饿死了,:)

【56楼】 xuhengjun 恒创精机:
听不太懂,看不出什么前途,我是为了兴趣爱好,并且能在自己的制作中使用TFT-LCD.

如果有可能的话能不能提供个平台啊,也让我们发发小财啊,呵呵.

出0入0汤圆

 楼主| 发表于 2007-11-12 21:38:48 | 显示全部楼层
TO:ppa2001
我给你发了邮件了

另外有可能的话能否上传你新版本的sch和装配图?考虑你的东西有可能你有版权的这个问题,上传pdf或者jpg的就可以了,实在不行来个

Pin to Pin的list就可以了.主要是方便分配I/O

谢谢啊

出0入0汤圆

发表于 2007-11-13 12:37:56 | 显示全部楼层
这是SCH文件~点击此处下载ourdev_180691.rar(文件大小:9K)

出0入0汤圆

发表于 2007-11-13 12:56:17 | 显示全部楼层
强呀,什么时候能发程序上来或有板子卖就好了。

出0入0汤圆

 楼主| 发表于 2007-11-13 13:06:33 | 显示全部楼层
谢谢ppa2001的共享精神.以后有什么需要的告诉兄弟一声,我经常在宁,沪,杭这一带活动

周末买EPM570去,听说上海塞格没有现货,得从外地发货,真这样上海塞格那也太那个什么了,唉.

出0入0汤圆

 楼主| 发表于 2007-11-14 09:06:29 | 显示全部楼层
【59楼】 ppa2001 :
今天已经收到了PCB及相关转接板,谢谢.

另外,你的下载线好象是BBMV的吧?

出0入0汤圆

发表于 2007-11-14 14:52:54 | 显示全部楼层
不客气~~
这是下载线的DDB文件,网上下的,很简单一种~只要一个244就好了,用它下载,CPLD可以不接电源的.直接并口取电~
不过要做板的话,建议从步下线,修改下板子的尺寸,有点不合适~
点击此处下载ourdev_180845.rar(文件大小:23K)

出0入0汤圆

 楼主| 发表于 2007-11-14 19:36:31 | 显示全部楼层
恩,谢谢,一直想自己做,但没机会,因为HC244做的不能下VCC<=2.5V的IC,2.5V左右的也不是非常稳定.

ppa2001你的下载线板和PSP板下载口的排序不是按标准JTAG口做的,对吗?

出0入0汤圆

发表于 2007-11-14 20:34:21 | 显示全部楼层
因为HC244做的不能下VCC<=2.5V的IC,2.5V左右的也不是非常稳定. 还好了,我用它下载,都不用电源的~
我是把目标板JTAG最简单连好,在来做下载线口,所以不是标准JTAG口做的~我只让它和目标板一一对应~

出0入0汤圆

发表于 2007-11-15 11:02:02 | 显示全部楼层
强,MARK

出0入0汤圆

 楼主| 发表于 2007-11-20 22:30:44 | 显示全部楼层
报喜,

1.小松送给我的EPM240核心板和BBII测试OK,已经能闪灯了.

2.ppa2001送给我的PSP板硬件工作正常,下一步要写verilog hdl了.

(果然如ppa2001所言,不接电源可以下程序)

好不容易才凑齐了这么些元件,焊接这些东西没好的工具是不行的,还有焊工得老练.如果没有进口焊油焊接会很麻烦(144脚的570对了好半天才算满意,总是有那么一些脚歪的).


手上没数码相机,真想传个图.

再次谢谢二位大侠.

出0入0汤圆

发表于 2008-5-23 22:38:26 | 显示全部楼层
顶。

出0入0汤圆

发表于 2008-5-24 09:52:03 | 显示全部楼层
继续关注

出0入0汤圆

发表于 2008-6-1 11:53:25 | 显示全部楼层
能提供这块屏的资料吗?谢谢!

出0入0汤圆

发表于 2008-6-12 21:12:15 | 显示全部楼层
ding

出0入0汤圆

发表于 2008-6-12 22:25:24 | 显示全部楼层
非常强的贴,不顶不行。 请问要不断更新屏需要400K的RAM,接什么型号RAM呢?我是用不带控制器的ARM驱动。谢谢。

出0入0汤圆

 楼主| 发表于 2008-10-11 12:56:57 | 显示全部楼层
用ARM7终于刷出图片了,还是C简单,1周时间就能上手干活.



CPLD搞了N久也只能是线啊,图啊什么.



http://www.ourdev.cn/bbs/bbs_content.jsp?bbs_sn=1591155&bbs_id=1032

出0入0汤圆

 楼主| 发表于 2008-10-11 16:09:54 | 显示全部楼层
【72楼】&nbsp;hefanghua:



参考型号IS61LV25616AL,256*16bits,512K字节的.别的上这家网站慢慢选

出0入0汤圆

发表于 2008-10-18 00:00:01 | 显示全部楼层
厉害!!!

出0入0汤圆

发表于 2008-12-7 20:59:53 | 显示全部楼层
强帖留名

出0入0汤圆

 楼主| 发表于 2009-1-22 01:07:29 | 显示全部楼层
新进度,互链一下:

http://www.ourdev.cn/bbs/bbs_content.jsp?bbs_sn=2199636&bbs_page_no=1&bbs_id=9999

出0入4汤圆

发表于 2009-3-23 22:34:37 | 显示全部楼层
收藏

出0入0汤圆

 楼主| 发表于 2009-3-24 01:21:22 | 显示全部楼层
掘"墓"高手...

出0入0汤圆

发表于 2009-5-12 14:41:14 | 显示全部楼层
请问AVDD上电延迟时间最小是0.5毫秒,那么最大是多少?我自己做个拨位开关,手动实现行不行?
我调一LQ043T3DX02 ,到现在还是黑屏,亮都不亮,请楼主点拨下。

出0入0汤圆

 楼主| 发表于 2009-5-12 22:02:49 | 显示全部楼层
可以不供电都可以,不过DISP不能为高

出0入0汤圆

发表于 2009-5-13 09:10:35 | 显示全部楼层
有没有简单的方法,来测试屏的好坏?随便亮个点都行。
我在用ARM7来驱动,带TFT控制器,示波器看FPC连接器管脚上的波形是正常的。但屏里面却没有办法连测量波形,无法验证是不是硬件问题。
困了1个多星期了,着急啊。

出0入0汤圆

 楼主| 发表于 2009-5-13 10:45:46 | 显示全部楼层
到修PSP的地方让他帮你测测LCD,ARM7驱动这个我知道,唉,那个IC不说也罢...

出0入0汤圆

发表于 2009-5-13 20:16:16 | 显示全部楼层
小地方那有修PSP地方阿  这里又不是上海 深圳,您能帮我分析下屏点不亮的原因么?
我现在可以确认的是:
背光已经正常25毫安电流.
4个控制信号,都有波形(用这个波形可以驱动另一块3.5寸的屏),
LQ043这个屏有2个电压,我的数据线输出电压是4V,并没有达到芯片上的典型值2.8V,但小于最大值4.5V
我用的ARM7 是 SEP4020,是这个论坛兄弟推荐的.

出0入0汤圆

发表于 2009-5-13 20:50:14 | 显示全部楼层
我晕,AVR用这么大的屏幕只能做电子相册用了

出0入0汤圆

 楼主| 发表于 2009-5-13 22:24:29 | 显示全部楼层
【84楼】 yulutong 土土狗:

应该是比较简单,你重点检查DISP信号.

两电源最好按标准电压来,AVDD电压需要延迟一点(不要比VCC先上电).

数据线电压4V???不太懂,是AVDD=4V还是ARM的IO=4V?

什么ARM啊,电压这么高,你别把屏给烧了,降降压吧.
-------------------------
我翻了一下规格书并没有在工作参数中找到4.5V的说法,只有在极限参数里看见VCC最大4.5V.

极限参数的意思是:不会把东东烧掉,但并不表示就一定可以工作,能工作的参数请看"Electrical Characteristics"这栏.

出0入0汤圆

发表于 2009-5-13 22:43:00 | 显示全部楼层
mark

出0入0汤圆

发表于 2009-5-14 07:38:26 | 显示全部楼层
Oliver 大侠 谢谢(貌似向你请教了好多次了)。我的ARMI/O口是4V,那我早上也学你用470电阻分压搭法试试看,昨天画了PCB去做了,现在在面包板上试。

还有一个液晶屏上有个DEN这个管脚该怎么接?

(原文件名:1.JPG)

出0入0汤圆

 楼主| 发表于 2009-5-14 09:22:23 | 显示全部楼层
DEN是RGB数据有效信号.

只有在DEN有效时RGB线的数据数据才会被送到LCD里面,否则LCD不采样RGB线上数据.

一般控制器都提供这个信号输出的,如果没有这个信号那就比较惨了,因为它是一个脉冲信号.

频率=行频率;
宽度=1行的点数

出0入0汤圆

发表于 2009-5-14 10:40:52 | 显示全部楼层
我的问题解决了,我把DISP脚当DEN(data enbale) 脚再用了。现在我不考虑功耗问题,我直接把它拉高了。

出0入0汤圆

发表于 2009-5-19 10:53:57 | 显示全部楼层
请问有LQ071Y1DH01的规格书吗?

出0入0汤圆

发表于 2009-5-20 14:26:53 | 显示全部楼层
现在出现的问题是液晶显示很淡,对比度不高,不知道要怎么改了。

出0入0汤圆

发表于 2009-5-20 16:03:37 | 显示全部楼层
感谢楼主。标记

出0入0汤圆

 楼主| 发表于 2009-5-20 16:56:55 | 显示全部楼层
是不是买到二手或者翻新LCD了,要不就设计有问题,我的对比度不淡,非常绚.

出0入0汤圆

发表于 2009-5-21 07:47:48 | 显示全部楼层
是不是翻新不知道啊,65元,买了一个。现在无法排除屏的好坏。
硬件接线参考你的图。
我把数据线RGB,分别接到2.5V,CLK频率9M,结果显示的全红,全绿,全蓝都很淡。


顺便备份下这个屏的图,网上找不到的噢。
点击此处下载 ourdev_446547.pdf(文件大小:1.07M) (原文件名:LQ043T3DX06.pdf)

出0入0汤圆

发表于 2009-5-21 08:42:23 | 显示全部楼层
m

出0入0汤圆

 楼主| 发表于 2009-5-21 09:42:46 | 显示全部楼层
65?好象是太便宜了,目前市面上<120的基本都是二手的.除非批量采购.

你的居然65那绝对绝对是旧屏了.

出0入0汤圆

发表于 2009-5-22 12:06:27 | 显示全部楼层
上次我价格听错了,是85一块,昨天又买了2个屏,结果显示还是一样很淡,对比度低。应该可以排除是屏的问题了。
我AVDD上电是按照你在18楼的步骤来的,
1.AVDD必须滞后于VCC;

2.AVDD上电后50ms才能控制CK,HSYNC,VSYNC,RGB信号;

3.而DISP_ON比如比这些逻辑信号晚0.5ms(应该没记错).

不过对于第3条不是很理解,我的DISP在AVDD上电后就置高了,并没有比其它逻辑信号延迟, (不过我也试过延迟)效果没变化。
对DISP这个信号Oliver你能仔细说说看么?

我适当的降低CLK的频率(<7MHz),屏幕显示会稍微亮一点,但还是淡。

出0入0汤圆

发表于 2009-7-4 20:20:23 | 显示全部楼层

出0入0汤圆

 楼主| 发表于 2009-7-14 21:07:20 | 显示全部楼层
新贴:
http://www.ourdev.cn/bbs/bbs_content.jsp?bbs_sn=3443136&bbs_id=9999
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-5-6 10:28

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表