搜索
bottom↓
回复: 4

ise 使用过程中遇到的问题!

[复制链接]

出0入0汤圆

发表于 2009-3-11 10:04:38 | 显示全部楼层 |阅读模式
听说ISE的BUG比较多,我也是刚开始用ise,我想把我用的过程中遇到的问题一一列举出来,希望得到大家的指点,也给想学CPLD的人一个使用的资料!

阿莫论坛20周年了!感谢大家的支持与爱护!!

一只鸟敢站在脆弱的枝条上歇脚,它依仗的不是枝条不会断,而是自己有翅膀,会飞。

出0入0汤圆

 楼主| 发表于 2009-3-11 10:09:16 | 显示全部楼层
开发环境:ise 10. 1
CPLD :xc95144xl-100
我今天写了个串口的程序,就是将CPU的串口连接了CPLD的2根IO口,然后通过CPLD,在另外2个IO输出。
我上程序 :

xx.ucf文件
#PINLOCK_BEGIN

NET  "UART0_RXD"  LOC = "P18";
NET  "UART0_TXD"  LOC = "P16";
NET  "CPU_TXD1"   LOC = "P24";
NET  "CPU_RXD1"   LOC = "P25";

#PINLOCK_END

xx.vhd文件
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;

entity UART is
------------------------------------------
port (
                CPU_TXD1 : in STD_LOGIC;
                CPU_RXD1 : out STD_LOGIC;
                UART0_RXD : in STD_LOGIC;
                UART0_TXD : out STD_LOGIC
                );
------------------------------------------
end UART;

architecture Behavioral of UART is

begin

      UART0_TXD <= CPU_TXD1;       
      CPU_RXD1 <= UART0_RXD;

end Behavioral;

我编译后出现这样的警告:
WARNING:Cpld:997 - Error during loading TIMESPEC AUTO_TS_P2F =
   MAXDELAY:FROM:PADS(*):TO:FFS(*):0.000 nS, the timespec parser failed to find
   any instance/net with an expected TNM defined in TIMEGRP FFS(*). The timing
   constraint will be ignored.

不知道这个警告是什么意思,怎样消除这个警告呢?

出0入0汤圆

 楼主| 发表于 2009-3-11 10:13:11 | 显示全部楼层
在编译的过程中,会出现下面的提示,

(原文件名:未命名.jpg)

我通过多次这样的操作可以解决这个问题,我也不知道产生这个问题的原因》

project ==> cleanup project files

出0入0汤圆

 楼主| 发表于 2009-3-13 09:19:49 | 显示全部楼层
上面的问题出现的频率大,我不知道是不是版本的问题还是什么问题!
现在我用上面的方法也解决不了这个问题,
我当进行上面的操作的时候,提示信息是这样的:
WARNING:ProjectMgmt:133 - Could not remove <E:/HLD-908A V1.01/soft of cpld/HLD908A09031301/UART.ngc> - cleanup failed.
WARNING:ProjectMgmt:133 - Could not remove <E:/HLD-908A V1.01/soft of cpld/HLD908A09031301/UART.ngr> - cleanup failed.
WARNING:ProjectMgmt:133 - Could not remove <E:/HLD-908A V1.01/soft of cpld/HLD908A09031301/UART.cmd_log> - cleanup failed.
不知道有什么可以解决的办法!现在只要是把以前的工程COPY过来还是打开以前的工程。编译几次,总会出现这个问题,现在很郁闷,不知道怎么解决,希望遇到这种问题的朋友能告诉我解决办法!

出0入0汤圆

 楼主| 发表于 2009-3-13 10:20:46 | 显示全部楼层
WARNING:Cpld:997 - Error during loading TIMESPEC AUTO_TS_F2F =
WARNING:Cpld:310 - Cannot apply TIMESPEC AUTO_TS_P2P =
WARNING:Cpld:997 - Error during loading TIMESPEC AUTO_TS_P2F =
WARNING:Cpld:310 - Cannot apply TIMESPEC AUTO_TS_F2P =
现在提示警告是这个,不知道怎么解决?
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-5-15 08:43

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表