|
楼主 |
发表于 2009-3-11 10:09:16
|
显示全部楼层
开发环境:ise 10. 1
CPLD :xc95144xl-100
我今天写了个串口的程序,就是将CPU的串口连接了CPLD的2根IO口,然后通过CPLD,在另外2个IO输出。
我上程序 :
xx.ucf文件
#PINLOCK_BEGIN
NET "UART0_RXD" LOC = "P18";
NET "UART0_TXD" LOC = "P16";
NET "CPU_TXD1" LOC = "P24";
NET "CPU_RXD1" LOC = "P25";
#PINLOCK_END
xx.vhd文件
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity UART is
------------------------------------------
port (
CPU_TXD1 : in STD_LOGIC;
CPU_RXD1 : out STD_LOGIC;
UART0_RXD : in STD_LOGIC;
UART0_TXD : out STD_LOGIC
);
------------------------------------------
end UART;
architecture Behavioral of UART is
begin
UART0_TXD <= CPU_TXD1;
CPU_RXD1 <= UART0_RXD;
end Behavioral;
我编译后出现这样的警告:
WARNING:Cpld:997 - Error during loading TIMESPEC AUTO_TS_P2F =
MAXDELAY:FROM:PADS(*):TO:FFS(*):0.000 nS, the timespec parser failed to find
any instance/net with an expected TNM defined in TIMEGRP FFS(*). The timing
constraint will be ignored.
不知道这个警告是什么意思,怎样消除这个警告呢? |
|