搜索
bottom↓
回复: 5

cpld的一个I/O口串行送数,有没有比这个 更优化的方法了?

[复制链接]

出0入0汤圆

发表于 2009-4-1 18:30:12 | 显示全部楼层 |阅读模式
always @(posedge clk)
        begin
                d<=d+1'b1;
        end
always @(d)
begin
        case(d)                                                               
                9'h1:dataout = 1'b0;                               
                9'h2:dataout = 1'b0;                               
                9'h3:dataout = 1'b0;                               
                9'h4:dataout = 1'b0;
                9'h5:dataout = 1'b0;
                9'h6:dataout = 1'b0;
                9'h7:dataout = 1'b1;
                9'h8:dataout = 1'b0;
                     default:dataout = 0;
          endcase
end
一个I/O口串行送数dataout (一次送一位2进制数),h1--h9 上面代码中I/O(dataout)向外送了一数 02H(0000 0010);我想知道有没有什么别的方法送,不需要用case一个一个列出来,就是写一个02H 然后通过 循环移位送出来.我这样写太烦琐了,因为要是10个8位的十六进制的数的话,就需要用case列出80行的代码,请问下有没有什么好的方法 取代我的用case 送数,谢谢了.

阿莫论坛20周年了!感谢大家的支持与爱护!!

一只鸟敢站在脆弱的枝条上歇脚,它依仗的不是枝条不会断,而是自己有翅膀,会飞。

出0入0汤圆

发表于 2009-4-1 18:56:46 | 显示全部楼层
coutn是数据,左移

reg data_out;

always @(posedge clk_out )
   
      {data_out,Count}<={Count,1'b0};

出0入0汤圆

 楼主| 发表于 2009-4-1 19:23:42 | 显示全部楼层
那我要送好几个数(30H,56H,84H...),而且要依次送,怎么办啊

出0入0汤圆

发表于 2009-4-1 20:11:21 | 显示全部楼层
我也是刚学的,说错可要多多包容

input [3:0] in;

case(in)
  0: 0x30=count;
  1: 0x56=count;
  2: 0x84=count;
  .
  .
  .

出0入0汤圆

发表于 2009-4-2 10:21:17 | 显示全部楼层
怎么定义优化?消耗资源少,工作频率高,还是?

资源少的,Shifter + MUX最节约。CPLD的Shifter需要特别的写法,充分利用内部节点;FPGA一般写法就行。

出50入0汤圆

发表于 2009-4-2 11:34:39 | 显示全部楼层
用一楼的方法试试看,占用9个LE:

module test (data_out,clk_out,data_in,clk_cs);
   
    input clk_out,clk_cs;
    input [7:0] data_in;
    output data_out;
   
        reg data_out;
        reg [7:0] Count;

    always @(posedge clk_out)
      begin
        if (clk_cs)
          Count<=data_in;
        else
          {data_out,Count}<={Count,1'b0};
      end

endmodule
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-5-16 05:08

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表